Effect of barrier layers on the texture and microstructure of Copper films

Size: px
Start display at page:

Download "Effect of barrier layers on the texture and microstructure of Copper films"

Transcription

1 Mat. Res. Soc. Symp. Proc. Vol Materials Research Society E2.2.1 Effect of barrier layers on the texture and microstructure of Copper films Tejodher Muppidi and David P Field School of MME, Washington State University, Pullman, WA. John E Sanchez Advanced Micro Devices, Sunnyvale, CA. ABSTRACT: The microstructure of interconnect material is know to influence its electromigration and stress-voiding properties. In addition to many factors responsible for the microstructure development, the barrier layer could be a major contributing factor as it forms the substrate for the copper films above. The microstructure of the barrier films based on its deposition technique could determine the final microstructure of the copper film. In the present work we examine the effect of two different barrier layers (Ta and TaN) and different stackings of these two materials on the microstructure on the copper seed (PVD) and electroplated films using EBSD, AFM and XRD. The results show that the plated films have a predominantly (111) texture and uniform grain size. But the (111) texture maximum varied with the barrier layer stacking underneath the plated film. INTRODUCTION: Copper is fast replacing aluminum as the material in interconnects 1.Thelower resistivity of copper in addition to its better performance with respect to electromigration and stress-voiding are the important factors influencing this change. But, the major problem in using copper comes from its poor diffusion properties in dielectrics. Copper diffuses easily in to the dielectric thereby poisoning the device. Copper also has poor adhesion to the dielectric. These problems are overcome by use of a barrier layer which prevents the diffusion of Cu into the dielectric 2. Also, the barrier material has better adhesion to both the dielectric and the copper thereby solving the problem of adhesion. The most commonly used dielectric materials are Ta, TaN, W, TiW, W, TiN, etc. Barrier layer materials are usually refractory materials which have high stability at high temperatures (600ºC) and good diffusion resistance 3. They are inert and do not cause poisoning of the devices by diffusing in to the dielectrics. Most of the currently used barrier layers are deposited by sputtering 5,6 (PVD). But the damascene process for the copper interconnects requires the deposition of the materials in to trenches of varying aspect ratios. So, other techniques like Atomic Layer Deposition 10 (ALD) and Chemical vapor deposition (CVD) are being tested for deposition of Ta, TaN and W to achieve better step coverage and better filling. In addition, a combination of layers of these materials has been used as barrier layer eg. Stacking of Ti/TiN, Ta/TaN. All of these have could have an effect on the microstructure of the over layers and hence an impact on the electromigration performance of the interconnects.

2 E2.2.2 The change in deposition technique will cause changes in many properties of the barrier films including the change in its microstructure and stresses in the films 3,4,6.The stacking of films could cause increased stresses in the films and hence its properties. In the present study we examine the effect of the stacking of Ta and TaN layers on the microstructure of the copper seed and electroplated copper films. EXPERIMENTAL PROCEDURE: The films were prepared with four different types of barrier layers: Ta, TaN, Ta/TaN and Ta/TaN/Ta on SiO 2 wafers. The total thickness of the barrier films was 100nm. In case of stacking, the Ta and TaN had approximately equal thickness. Each of these barrier layers had two sets of films deposited on them. One set had just the copper seed layer 100Aº thick while the second set had 1.5µm thick electroplating in addition to the seed. Electroplating was done using a commercially available technique. The plated films were annealed at 400ºC for 1 minute on a hot plate. The wafers with the seed alone did not receive any annealing treatment. All the wafers were held at room temperature (RT) thereafter and self-annealing and RT grain growth are the only mechanisms through whichthegraingrowthwasassumedtooccur. The films were analyzed using electron back-scatter diffraction (EBSD), XRD and AFM to reveal the local and bulk microstructure and texture information. EBSD was carried out on an FEI-Philips XL-40 FE-SEM at 20kV accelerating voltage for two different step sizes of 0.1µm and 0.2µm. The smaller step size data was used to study the grain and grain-boundary structure and the larger step size data was collected to characterize the texture of the films. X-ray diffraction was done using the Shultz reflection method using a Philips X'Pert MRD 7-axis diffractometer. (111) pole figures were obtained and the pole plots were constructed. The AFM scans were done using a DI 5000 instrument to obtain the rms roughness values. The results are shown below. RESULTS: 1) Electron back scatter diffraction The orientation maps of the electroplated films for different barrier layers are shown in Fig 1. The maps show a predominantly (111) textured grains showing that the surface energy reduction is the major factor influencing the grain growth of the plated films. The average grain size obtained from these films is tabulated in Table 1. All the films have a similar average grain size in the range µm. The films with TaN in contact with the plated Cu have a slightly larger average grain size than films with the Ta on top. The average grain size was calculated assuming the twins as part of the same grain. The twin boundaries which are 60º rotated about (111) plane normal are excluded as grain boundaries.

3 E2.2.3 (a) (b) (c) (d) Figure 1. Orientation maps of electroplated Cu films with different barrier layers (a) Ta (b) TaN (c) Ta/TaN and (d) Ta/TaN/Ta. The grains are predominantly (111) with a small fraction of twins and other orientations. The grain size distribution for the plated films (not shown here) is log normal as it normally is in the case of plated films. The (111) pole figures for these films are shown in Figure 2. The pole figures were generated using 3º bins using discrete binning procedure similar to that described by Matthies 8. 2) Atomic force microscopy The rms roughness values obtained from the seed layer and the electroplated films are shown in Table 1. The rms roughness value of the seed layer is around 4 5 nm while the electroplated films which are much thicker had a much larger rms roughness in the order

4 E2.2.4 of 200nm. Figure 3 shows the difference in the AFM images of the film with Ta barrier layer and just the Cu seed and with the plating layer. 3) X-ray diffraction The XRD pole plots are shown for all the films in Figure 4. The pole plots have been generated by integrating the intensities obtained in the pole figures and normalizing them and making adjustments for the background and defocusing errors. All the films showed a significant fraction of (111) grains. DISCUSSION AND CONCLUSIONS: The grain size values of the Cu seed films were an order of magnitude less than the grain sizes of the plated films. The grain size of the grains was dependant on the layer in contact with the Cu seed or plating. The average grain size of Cu in contact with TaN was larger than one in contact with the Ta layer. The grain growth and orientation in thin films is effected by the surface energy minimization between the substrate and the deposited film. In the present experiment the TaN probably has a lower surface energy than Ta and hence the grains grow to a larger average size. (111) is the preferred orientation in all the films. The grain growth is believed to be the surface energy reduction based grain growth. The (111) maxima values, indicative of the quantitative texture, is found to vary with the number of sublayers underneath the Cu film. The films with just the Ta or TaN barrier had a much higher texture value than the films with Ta/TaN and Ta/TaN/Ta. The larger the number of sublayers, the greater will be the incoherence at the interfaces and increased stresses in the film. Higher stresses in the film cause the (001) to become a preferred orientation of the grains. It could also lead to abnormal grain growth as was observed in Ag thin films 9 with higher initial stress state. In the present situation the stress state was not high enough to cause any significant difference in grain growth characteristics and will have very little effect on any other properties. However, the results show that the increased number of layers and the type of material in barrier layer will affect the texture and microstructure of the Cu seed and the electroplated films. Cu seed Electroplated Cu Barrier Cu (111) (x random) rms roughness (nm) Avg grain dia (µm) Cu (111) (x random) rms roughness (nm) Ta TaN Ta/TaN Ta/TaN/Ta Table 1. The (111) texture maxima, rms roughness values for the Cu seed and plated Cu on different barriers. Average grain diameter values for plated Cu also shown.

5 E2.2.5 (a) (b) (c) (d) Figure 2. The (111) pole figures of the plated Cu films on (a) Ta (b) TaN (c) Ta/TaN and (d) Ta/TaN/Ta barrier layers. Figure 3. AFM images of the Cu seed (a) and the plated Cu (b) on a Ta barrier layer. The plated film has much larger rms roughness and psd grain size than the PVD Cu seed.

6 E2.2.6 Cu (111) (times random intensity) PVD Cu/Ta PVD Cu/TaN PVD Cu/Ta/TaN PVD Cu/Ta/TaN/Ta Plated Cu/PVD Cu/Ta Plated Cu/PVD Cu/TaN Plated Cu/PVD Cu/Ta/TaN Plated Cu/PVD Cu/Ta/TaN/Ta Figure 4. The (111) pole plot using X-ray Diffraction after correcting for defocusing and tilt errors. ACKNOWLEDGEMENTS: Minh Tran, Jeremias Romero, Johnny Baragan and Steve Robie at Advanced Micro Devices for help with the plating, AFM and XRD data. REFERENCES: Psi (deg) 1.C.-K.Hu,B.Luther,F.B.Kaufman,J.Hummel,C.Uzoh,D.J.Pearson,Thin Solid Films, vol.262, pp.84 92, Barriers against Copper diffusion into Silicon and drift through Silicondioxide, MRS bulletin 1994; 5 (August): KarenHolloway,PeterM.Fryer,CyrilCabral,Jr.,J.M.E.Harper,P.J.Bailey, andk.h.kelleher,journal of Applied Physics 1992; 71 (11): J.Proost, T.Hirato, T.Furuhara, K.Maex, and J.-P.Celis, J. of Appl. Phys., Vol 87, No 6, 15 March E.Kolawa, J.S.Chen, J.S.Reid, P.J.Pokela, and M.-A.Nicolet, J.of Appl. Phys. (1 Aug. 1991) vol.70, no.3, pp KarenHolloway,PeterM.Fryer,CyrilCabral,Jr.,J.M.E.Harper,P.J.Bailey,andK. H. Kelleher, J. of Appl. Phys. 1992; 71 (11): Linda Vanasupa, Young-Chang Joo, Paul R. Besser, and Shekhar Pramanick, J. of Appl. Phys. (1 March 1999) vol 85, no. 5, pp S.Matthies and G.W.Vinel, Material Science Forum (Proc. ICOTOM 10), 157, 1641 (1994). 9. J. Greiser, P. Müllner and E. Arzt, Acta Materilia 49(2001) G.Beyer,A.Satta,J.Schuhmacher,K.Maex,W.Besling,O.Kilpela,H.Spreyand G. Tempel, Microelectronic Engineering 64 (2002)

Development of different copper seed layers with respect to the copper electroplating process

Development of different copper seed layers with respect to the copper electroplating process Microelectronic Engineering 50 (2000) 433 440 www.elsevier.nl/ locate/ mee Development of different copper seed layers with respect to the copper electroplating process a, a a b b b K. Weiss *, S. Riedel,

More information

Investigation of Copper Agglomeration at Elevated Temperatures

Investigation of Copper Agglomeration at Elevated Temperatures G826 0013-4651/2003/150 12 /G826/5/$7.00 The Electrochemical Society, Inc. Investigation of Copper Agglomeration at Elevated Temperatures Ching-Yu Yang and J. S. Chen*,z Department of Materials Science

More information

ALD and CVD of Copper-Based Metallization for. Microelectronic Fabrication. Department of Chemistry and Chemical Biology

ALD and CVD of Copper-Based Metallization for. Microelectronic Fabrication. Department of Chemistry and Chemical Biology ALD and CVD of Copper-Based Metallization for Microelectronic Fabrication Yeung Au, Youbo Lin, Hoon Kim, Zhengwen Li, and Roy G. Gordon Department of Chemistry and Chemical Biology Harvard University Introduction

More information

Investigation of overpotential and seed thickness on damascene copper electroplating

Investigation of overpotential and seed thickness on damascene copper electroplating Surface & Coatings Technology 200 (2006) 3112 3116 www.elsevier.com/locate/surfcoat Investigation of overpotential and on damascene copper electroplating K.W. Chen a, Y.L. Wang b, *, L. Chang a, F.Y. Li

More information

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Metallization deposition and etching. Material mainly taken from Campbell, UCCS Metallization deposition and etching Material mainly taken from Campbell, UCCS Application Metallization is back-end processing Metals used are aluminum and copper Mainly involves deposition and etching,

More information

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials Interconnects Outline Interconnect scaling issues Aluminum technology Copper technology 1 Properties of Interconnect Materials Metals Silicides Barriers Material Thin film Melting resistivity point ( C)

More information

Anomaly of Film Porosity Dependence on Deposition Rate

Anomaly of Film Porosity Dependence on Deposition Rate Anomaly of Film Porosity Dependence on Deposition Rate Stephen P. Stagon and Hanchen Huang* Department of Mechanical Engineering, University of Connecticut, Storrs, CT 06269 J. Kevin Baldwin and Amit Misra

More information

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE Dr. Alan Doolittle

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE Dr. Alan Doolittle Lecture 12 Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12 Evaporation and Sputtering (Metalization) Evaporation For all devices, there is a need to go from semiconductor to metal.

More information

SLURRY FORMULATION OPTIONS

SLURRY FORMULATION OPTIONS SLURRY FORMULATION OPTIONS CHALLENGES FOR DEFECT REDUCTION IN CU,Ta/TaN AND Ru PLANARIZATION S. V. Babu Center for Advanced Materials Processing, 1 Clarkson University (www.clarkson.edu/camp) Acknowledgments

More information

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon Chapter 5 Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon 5.1 Introduction In this chapter, we discuss a method of metallic bonding between two deposited silver layers. A diffusion

More information

Thermal Evaporation. Theory

Thermal Evaporation. Theory Thermal Evaporation Theory 1. Introduction Procedures for depositing films are a very important set of processes since all of the layers above the surface of the wafer must be deposited. We can classify

More information

Metallization. Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance

Metallization. Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance Metallization Interconnects Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance RC time delay Inter-Metal Dielectric -Prefer low dielectric constant to reduce capacitance

More information

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley Nonplanar Metallization Planar Metallization Passivation Metal 5 (copper) Metal 3 (copper) Interlevel dielectric (ILD) Via (tungsten) Metal 1 (copper) Tungsten Plug to Si Silicon Caps and Plugs oxide oxide

More information

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications Journal of ELECTRONIC MATERIALS, Vol. 31, No. 5, 2002 Special Issue Paper Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems

More information

Fabrication of Ru/Bi 4-x La x Ti 3 O 12 /Ru Ferroelectric Capacitor Structure Using a Ru Film Deposited by Metalorganic Chemical Vapor Deposition

Fabrication of Ru/Bi 4-x La x Ti 3 O 12 /Ru Ferroelectric Capacitor Structure Using a Ru Film Deposited by Metalorganic Chemical Vapor Deposition Mat. Res. Soc. Symp. Proc. Vol. 784 2004 Materials Research Society C7.7.1 Fabrication of Ru/Bi 4-x La x Ti 3 O 12 /Ru Ferroelectric Capacitor Structure Using a Ru Film Deposited by Metalorganic Chemical

More information

IN-SITU ANNEALING OF Cu(In,Ga)Se 2 FILMS GROWN BY ELEMENTAL CO- EVAPORATION

IN-SITU ANNEALING OF Cu(In,Ga)Se 2 FILMS GROWN BY ELEMENTAL CO- EVAPORATION IN-SITU ANNEALING OF Cu(In,Ga)Se 2 FILMS GROWN BY ELEMENTAL CO- EVAPORATION James D. Wilson, Robert W. Birkmire, William N. Shafarman Institute of Energy Conversion, University of Delaware, Newark, DE

More information

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material on any substrate (in principal) Start with pumping down

More information

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high vacuum ~10-7 torr Removes residual gases eg oxygen from

More information

Mater. Res. Soc. Symp. Proc. Vol Materials Research Society

Mater. Res. Soc. Symp. Proc. Vol Materials Research Society Mater. Res. Soc. Symp. Proc. Vol. 940 2006 Materials Research Society 0940-P13-12 A Novel Fabrication Technique for Developing Metal Nanodroplet Arrays Christopher Edgar, Chad Johns, and M. Saif Islam

More information

Understanding and Reducing Copper Defects

Understanding and Reducing Copper Defects Understanding and Reducing Copper Defects Most high-performance logic manufacturers are by now developing, piloting or producing copper-based circuits. There are a number of companies that introduced copper

More information

Metallization. Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance

Metallization. Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance Metallization Interconnects Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance RC time delay Inter-Metal Dielectric -Prefer low dielectric constant to reduce capacitance

More information

Lecture Day 2 Deposition

Lecture Day 2 Deposition Deposition Lecture Day 2 Deposition PVD - Physical Vapor Deposition E-beam Evaporation Thermal Evaporation (wire feed vs boat) Sputtering CVD - Chemical Vapor Deposition PECVD LPCVD MVD ALD MBE Plating

More information

COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING

COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING Lynne Michaelson 1, Anh Viet Nguyen 2, Krystal Munoz 1, Jonathan C. Wang

More information

Practical 2P8 Transmission Electron Microscopy

Practical 2P8 Transmission Electron Microscopy Practical 2P8 Transmission Electron Microscopy Originators: Dr. N.P. Young and Prof. J. M. Titchmarsh What you should learn from this practical Science This practical ties-in with the lecture course on

More information

Transmission Kikuchi Diffraction in the Scanning Electron Microscope

Transmission Kikuchi Diffraction in the Scanning Electron Microscope Transmission Kikuchi Diffraction in the Scanning Electron Microscope Robert Keller, Roy Geiss, Katherine Rice National Institute of Standards and Technology Nanoscale Reliability Group Boulder, Colorado

More information

ANALYSIS OF THE SURFACE MORPHOLOGY OF CVD-GROWN DIAMOND FILMS WITH X-RAY DIFFRACTION

ANALYSIS OF THE SURFACE MORPHOLOGY OF CVD-GROWN DIAMOND FILMS WITH X-RAY DIFFRACTION Copyright JCPDS - International Centre for Diffraction Data 23, Advances in X-ray Analysis, Volume 46. 185 ANALYSIS OF THE SURFACE MORPHOLOGY OF CVD-GROWN DIAMOND FILMS WITH X-RAY DIFFRACTION M.J. Fransen

More information

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

Semiconductor Manufacturing Technology. IC Fabrication Process Overview Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 00 by Prentice Hall Chapter 9 IC Fabrication Process Overview /4 Objectives After studying the material in this chapter, you

More information

Nanocrystalline structure and Mechanical Properties of Vapor Quenched Al-Zr-Fe Alloy Sheets Prepared by Electron-Beam Deposition

Nanocrystalline structure and Mechanical Properties of Vapor Quenched Al-Zr-Fe Alloy Sheets Prepared by Electron-Beam Deposition Materials Transactions, Vol. 44, No. 10 (2003) pp. 1948 to 1954 Special Issue on Nano-Hetero Structures in Advanced Metallic Materials #2003 The Japan Institute of Metals Nanocrystalline structure and

More information

MICROSTRUCTURAL INVESTIGATION OF SPD PROCESSED MATERIALS CASE STUDY

MICROSTRUCTURAL INVESTIGATION OF SPD PROCESSED MATERIALS CASE STUDY TEQIP Workshop on HRXRD, IIT Kanpur, 05 Feb 2016 MICROSTRUCTURAL INVESTIGATION OF SPD PROCESSED MATERIALS CASE STUDY K.S. Suresh Department of Metallurgical and Materials Engineering Indian Institute of

More information

TSV Interposer Process Flow with IME 300mm Facilities

TSV Interposer Process Flow with IME 300mm Facilities TSV Interposer Process Flow with IME 300mm Facilities Property of Institute of Microelectronics (IME)-Singapore August 17, 2012 Outline 1. TSV interposer (TSI) cross sectional schematic TSI with BEOL,

More information

Final Year Project Proposal 1

Final Year Project Proposal 1 Final Year Project Proposal 1 Mechanical testing for high temperature polymers Mr Eric Phua Jian Rong (JRPhua@ntu.edu.sg) In offshore subsea drilling, different types of microelectronics devices and sensors

More information

Development of low roughness, low resistance bottom electrodes for tunnel junction devices

Development of low roughness, low resistance bottom electrodes for tunnel junction devices Development of low roughness, low resistance bottom electrodes for tunnel junction devices Designing and assembly of a new annealing setup for 150mm wafers David Filipe Coelho de Almeida Aurélio Setembro

More information

Available online at ScienceDirect. Procedia Engineering 79 (2014 )

Available online at  ScienceDirect. Procedia Engineering 79 (2014 ) Available online at www.sciencedirect.com ScienceDirect Procedia Engineering 79 (2014 ) 212 217 37th National Conference on Theoretical and Applied Mechanics (37th NCTAM 2013) & The 1st International Conference

More information

Recrystallization in CdTe/CdS

Recrystallization in CdTe/CdS Thin Solid Films 361±362 (2000) 420±425 www.elsevier.com/locate/tsf Recrystallization in CdTe/CdS A. Romeo, D.L. BaÈtzner, H. Zogg, A.N. Tiwari* Thin Film Physics Group, Institute of Quantum Electronics,

More information

Chemical Mechanical Planarization STACK TRECK. SPCC 2017 Viorel Balan

Chemical Mechanical Planarization STACK TRECK. SPCC 2017 Viorel Balan Chemical Mechanical Planarization STACK TRECK Viorel.balan@cea.fr > Red 50 is years The of New Moore s Blue Law Stacking Is The New Scaling 2 Lithography Enables Scaling / CMP Enables Stacking Building

More information

Ferroelectric Oxide Single-Crystalline Layers by Wafer Bonding and Hydrogen/Helium Implantation

Ferroelectric Oxide Single-Crystalline Layers by Wafer Bonding and Hydrogen/Helium Implantation Mat. Res. Soc. Symp. Proc. Vol. 748 2003 Materials Research Society U11.8.1 Ferroelectric Oxide Single-Crystalline Layers by Wafer Bonding and Hydrogen/Helium Implantation Ionut Radu, Izabela Szafraniak,

More information

X ray diffraction in materials science

X ray diffraction in materials science X ray diffraction in materials science Goals: Use XRD spectra to determine the orientation of single crystals and preferred orientations in a thin film. Understand how grain size and strain affect the

More information

PARAMETER EFFECTS FOR THE GROWTH OF THIN POROUS ANODIC ALUMINUM OXIDES

PARAMETER EFFECTS FOR THE GROWTH OF THIN POROUS ANODIC ALUMINUM OXIDES 10.1149/1.2794473, The Electrochemical Society PARAMETER EFFECTS FOR THE GROWTH OF THIN POROUS ANODIC ALUMINUM OXIDES S. Yim a, C. Bonhôte b, J. Lille b, and T. Wu b a Dept. of Chem. and Mat. Engr., San

More information

Materials Characterization for Stress Management

Materials Characterization for Stress Management Materials Characterization for Stress Management Ehrenfried Zschech, Fraunhofer IZFP Dresden, Germany Workshop on Stress Management for 3D ICs using TSVs San Francisco/CA, July 13, 2010 Outline Stress

More information

Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process

Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process by Kozue Yabusaki * and Hirokazu Sasaki * In recent years the FIB technique has been widely used for specimen

More information

Morphology of Thin Aluminum Film Grown by DC Magnetron Sputtering onto SiO 2 on Si(100) Substrate

Morphology of Thin Aluminum Film Grown by DC Magnetron Sputtering onto SiO 2 on Si(100) Substrate Morphology of Thin Aluminum Film Grown by DC Magnetron Sputtering onto SiO 2 on Si(1) Substrate Fan Wu Microelectronics Center, Medtronic Inc., Tempe, AZ 85261 James E. Morris Department of Electrical

More information

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA Overview of CMP for TSV Applications Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA Outline TSV s and the Role of CMP TSV Pattern and Fill TSV Reveal (non-selective)

More information

Crystal structure analysis of spherical silicon using X-ray pole figure

Crystal structure analysis of spherical silicon using X-ray pole figure Solid State Phenomena Vol. 9 (00) pp 9-56 (00) Trans Tech Publications, Switzerland doi:0.08/www.scientific.net/ssp.9.9 Tel.No.:+8-77-56-98 FaxNo.:+8-77-56-98 e-mail: ro00986@se.ritsumei.ac.jp Crystal

More information

MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices

MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices Hitachi Review Vol. 57 (2008), No. 3 127 MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices Tadashi Terasaki Masayuki Tomita Katsuhiko Yamamoto Unryu Ogawa, Dr. Eng. Yoshiki Yonamoto,

More information

Device Fabrication: Metallization

Device Fabrication: Metallization Device Fabrication: Metallization 1 Applications: Interconnection 2 Q & A Can we reduce all dimensions of metal interconnection line at the same ratio? R= l/wh. When we shrink all dimensions (length l,

More information

CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node

CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node UMC/ ATD_AM / CMP Department T. C. Tsai, W. C. Tsao, Welch Lin, C. L. Hsu, C. L. Lin, C. M. Hsu, J. F. Lin, C. C.

More information

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther EECS 40 Spring 2003 Lecture 19 Microfabrication 4/1/03 Prof. ndy Neureuther How are Integrated Circuits made? Silicon wafers Oxide formation by growth or deposition Other films Pattern transfer by lithography

More information

GRADED MEDIA: Towards to more than 1 Tbit/in 2 magnetic recording. Dr. D. Niarchos. Th. Speliotis V. Alexandrakis G. Giannopoulos

GRADED MEDIA: Towards to more than 1 Tbit/in 2 magnetic recording. Dr. D. Niarchos. Th. Speliotis V. Alexandrakis G. Giannopoulos IMS, NCSR Demokritos Athens, Greece www.teramagstor.eu GRADED MEDIA: Towards to more than 1 Tbit/in 2 magnetic recording Dr. D. Niarchos Th. Speliotis V. Alexandrakis G. Giannopoulos CAN YOU IMAGINE ~7

More information

80 Development of REBa2Cu3Ox Coated Conductor on Textured Metal Substrate

80 Development of REBa2Cu3Ox Coated Conductor on Textured Metal Substrate ELECTRIC WIRE & CABLE, ENERGY Development of REBa2Cu3Ox Coated Conductor on Textured Metal Substrate Yuki SHINGAI*, Tatsuoki NAGAISHI, Masaya KONISHI, Hajime OTA, Takahiro TANEDA and Kazuya OHMATSU Sumitomo

More information

A New Liquid Precursor for Pure Ruthenium Depositions. J. Gatineau, C. Dussarrat

A New Liquid Precursor for Pure Ruthenium Depositions. J. Gatineau, C. Dussarrat 1.1149/1.2727414, The Electrochemical Society A New Liquid Precursor for Pure Ruthenium Depositions J. Gatineau, C. Dussarrat Air Liquide Laboratories, Wadai 28, Tsukuba city, Ibaraki Prefecture, 3-4247,

More information

Cu(In,Ga)Se 2 FILM FORMATION FROM SELENIZATION OF MIXED METAL/METAL-SELENIDE PRECURSORS

Cu(In,Ga)Se 2 FILM FORMATION FROM SELENIZATION OF MIXED METAL/METAL-SELENIDE PRECURSORS Cu(In,Ga)Se 2 FILM FORMATION FROM SELENIZATION OF MIX METAL/METAL-SELENIDE PRECURSORS Rui Kamada, William N. Shafarman, and Robert W. Birkmire Institute of Energy Conversion University of Delaware, Newark,

More information

The influence of aluminium alloy quench sensitivity on the magnitude of heat treatment induced residual stress

The influence of aluminium alloy quench sensitivity on the magnitude of heat treatment induced residual stress Materials Science Forum Vols. 524-525 (26) pp. 35-31 online at http://www.scientific.net (26) Trans Tech Publications, Switzerland The influence of aluminium alloy quench sensitivity on the magnitude of

More information

Application of ultra-thin aluminum oxide etch mask made by atomic layer deposition technique

Application of ultra-thin aluminum oxide etch mask made by atomic layer deposition technique IOP Publishing Journal of Physics: Conference Series 61 (2007) 369 373 doi:10.1088/1742-6596/61/1/074 International Conference on Nanoscience and Technology (ICN&T 2006) Application of ultra-thin aluminum

More information

NANO SCRATCH TESTING OF THIN FILM ON GLASS SUBSTRATE

NANO SCRATCH TESTING OF THIN FILM ON GLASS SUBSTRATE NANO SCRATCH TESTING OF THIN FILM ON GLASS SUBSTRATE Prepared by Jesse Angle 6 Morgan, Ste156, Irvine CA 92618 P: 949.461.9292 F: 949.461.9232 nanovea.com Today's standard for tomorrow's materials. 2010

More information

The effect of radio-frequency sputtering power on the structural properties of Chromium thin films

The effect of radio-frequency sputtering power on the structural properties of Chromium thin films XXX International Conference on Surface Modification Technologies (SMT30) 29TH JUNE - 1ST JULY, 2016, MILAN, ITALY The effect of radio-frequency sputtering power on the structural properties of Chromium

More information

EBSD Electron BackScatter Diffraction Principle and Applications

EBSD Electron BackScatter Diffraction Principle and Applications EBSD Electron BackScatter Diffraction Principle and Applications Dr. Emmanuelle Boehm-Courjault EPFL STI IMX Laboratoire de Simulation des Matériaux LSMX emmanuelle.boehm@epfl.ch 1 Outline! Introduction!

More information

Introduction. 1. Sputtering process, target materials and their applications

Introduction. 1. Sputtering process, target materials and their applications Sputtering is widely used in the production of electronic devices such as liquid crystal displays (LCDs), optical media, magnetic media and semiconductors. The Kobelco Research Institute, Inc. has been

More information

AFM and AUGER investigations ofas-deposited and heat treated copper coatings on glassy carbon surfaces with titanium intermediate layers

AFM and AUGER investigations ofas-deposited and heat treated copper coatings on glassy carbon surfaces with titanium intermediate layers Vacuum 71 (2003) 293 298 AFM and AUGER investigations ofas-deposited and heat treated copper coatings on glassy carbon surfaces with titanium intermediate layers E. Neubauer a,b, *, C. Eisenmenger-Sittner

More information

Visit

Visit Practical Applications for Nano- Electronics by Vimal Gopee E-mail: Vimal.gopee@npl.co.uk 10/10/12 Your Delegate Webinar Control Panel Open and close your panel Full screen view Raise hand for Q&A at the

More information

Alternative Methods of Yttria Deposition For Semiconductor Applications. Rajan Bamola Paul Robinson

Alternative Methods of Yttria Deposition For Semiconductor Applications. Rajan Bamola Paul Robinson Alternative Methods of Yttria Deposition For Semiconductor Applications Rajan Bamola Paul Robinson Origin of Productivity Losses in Etch Process Aggressive corrosive/erosive plasma used for etch Corrosion/erosion

More information

The influence of the internal microstructure on the surface parameters of polycrystalline thin films

The influence of the internal microstructure on the surface parameters of polycrystalline thin films The influence of the internal microstructure on the surface parameters of polycrystalline thin films C. Eisenmenger-Sittner and A. Bergauer Institut für Angewandte und Technische Physik, Technische Universität

More information

New Materials as an enabler for Advanced Chip Manufacturing

New Materials as an enabler for Advanced Chip Manufacturing New Materials as an enabler for Advanced Chip Manufacturing Drive Innovation, Deliver Excellence ASM International Analyst and Investor Technology Seminar Semicon West July 10 2013 Outline New Materials:

More information

Fiber Textures: application to thin film textures

Fiber Textures: application to thin film textures 1 Fiber Textures: application to thin film textures 27-750, Spring 2008 A. D. (Tony) Rollett Carnegie Mellon MRSEC Acknowledgement: the data for these examples were provided by Ali Gungor; extensive discussions

More information

Experimental O 3. Results and discussion

Experimental O 3. Results and discussion Introduction Surface coatings to protect against oxidation extend the service temperature and the service life of basic metals. The commercially used coating systems can be divided into three main groups:

More information

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation. Figure 2.1 (p. 58) Basic fabrication steps in the silicon planar process: (a) oxide formation, (b) selective oxide removal, (c) deposition of dopant atoms on wafer, (d) diffusion of dopant atoms into exposed

More information

Lead-Free Solder Bump Technologies for Flip-Chip Packaging Applications

Lead-Free Solder Bump Technologies for Flip-Chip Packaging Applications Lead-Free Solder Bump Technologies for Flip-Chip Packaging Applications Zaheed S. Karim 1 and Jim Martin 2 1 Advanced Interconnect Technology Ltd. 1901 Sunley Centre, 9 Wing Yin Street, Tsuen Wan, Hong

More information

Etching Mask Properties of Diamond-Like Carbon Films

Etching Mask Properties of Diamond-Like Carbon Films N. New Nawachi Diamond et al. and Frontier Carbon Technology 13 Vol. 15, No. 1 2005 MYU Tokyo NDFCT 470 Etching Mask Properties of Diamond-Like Carbon Films Norio Nawachi *, Akira Yamamoto, Takahiro Tsutsumoto

More information

Evolution of Recrystallization Texture in Aluminum Alloy Sheets by Asymmetric-Warm-Rolling

Evolution of Recrystallization Texture in Aluminum Alloy Sheets by Asymmetric-Warm-Rolling 技術論文 Evolution of Recrystallization Texture in Aluminum Alloy Sheets by Asymmetric-Warm-Rolling Yoshikazu Suzuki Osamu Noguchi Yoshiki Miki Yoichi Ueno Katsumi Koyama Toshio Komatsubara Abstract : Asymmetric-warm-rolling

More information

Surface Micromachining Process for the Integration of AlN Piezoelectric Microstructures

Surface Micromachining Process for the Integration of AlN Piezoelectric Microstructures Surface Micromachining Process for the Integration of AlN Piezoelectric Microstructures Saravanan. S, Erwin Berenschot, Gijs Krijnen and Miko Elwenspoek Transducers Science and Technology Laboratory University

More information

Growth Of TiO 2 Films By RF Magnetron Sputtering Studies On The Structural And Optical Properties

Growth Of TiO 2 Films By RF Magnetron Sputtering Studies On The Structural And Optical Properties Journal of Multidisciplinary Engineering Science and Technology (JMEST) Growth Of TiO 2 Films By RF Magnetron Sputtering Studies On The Structural And Optical Properties Ahmed K. Abbas 1, Mohammed K. Khalaf

More information

STRAIN-INDUCED TEXTURE DEVELOPMENT IN THE MAGNESIUM ALLOY AZ31

STRAIN-INDUCED TEXTURE DEVELOPMENT IN THE MAGNESIUM ALLOY AZ31 71 72 STRAIN-INDUCED TEXTURE DEVELOPMENT IN THE MAGNESIUM ALLOY AZ31 Shiyao Huang, Dayong Li and Yinghong Peng Shanghai Jiaotong University, Shanghai, China. John Allison University of Michigan, Ann Arbor,

More information

Journal of Chemical and Pharmaceutical Research, 2017, 9(1): Research Article

Journal of Chemical and Pharmaceutical Research, 2017, 9(1): Research Article Available online www.jocpr.com Journal of Chemical and Pharmaceutical Research, 2017, 9(1):163-167 Research Article ISSN : 0975-7384 CODEN(USA) : JCPRC5 Synthesis and Characterization of Carbon Nano Spheres

More information

This article was originally published in a journal published by Elsevier, and the attached copy is provided by Elsevier for the author s benefit and for the benefit of the author s institution, for non-commercial

More information

DEPOSITION OF Al 2 O 3 ON CERAMIC SUBSTRATES BY PECVD METHOD. Lucie Špirková a Vlastimil Brožek a Jean Durand b

DEPOSITION OF Al 2 O 3 ON CERAMIC SUBSTRATES BY PECVD METHOD. Lucie Špirková a Vlastimil Brožek a Jean Durand b DEPOSITION OF Al 2 O 3 ON CERAMIC SUBSTRATES BY PECVD METHOD Lucie Špirková a Vlastimil Brožek a Jean Durand b a) Institute of Chemical Technology, 166 28 Prague, Czech Republic b) Laboratoire des Matériaux

More information

Structural Stability of Diffusion Barriers in Cu/Ru/MgO/Ta/Si

Structural Stability of Diffusion Barriers in Cu/Ru/MgO/Ta/Si Nanomaterials 2015, 5, 1840-1852; doi:10.3390/nano5041840 Article OPEN ACCESS nanomaterials ISSN 2079-4991 www.mdpi.com/journal/nanomaterials Structural Stability of Diffusion Barriers in Cu/Ru/MgO/Ta/Si

More information

Effects of Lead on Tin Whisker Elimination

Effects of Lead on Tin Whisker Elimination Effects of Lead on Tin Whisker Elimination Wan Zhang and Felix Schwager Rohm and Haas Electronic Materials Lucerne, Switzerland inemi Tin Whisker Workshop at ECTC 0 May 30, 2006, in San Diego, CA Efforts

More information

Thermo-Mechanical Reliability of Through-Silicon Vias (TSVs)

Thermo-Mechanical Reliability of Through-Silicon Vias (TSVs) 1 Thermo-Mechanical Reliability of Through-Silicon Vias (TSVs) Xi Liu Ph.D. Student and Suresh K. Sitaraman, Ph.D. Professor The George W. Woodruff School of Mechanical Engineering Georgia Institute of

More information

Interreactions of TiAl 3 Thin Film on Bulk -TiAl and on Bulk 2 -Ti 3 Al Alloys at C

Interreactions of TiAl 3 Thin Film on Bulk -TiAl and on Bulk 2 -Ti 3 Al Alloys at C Materials Transactions, Vol. 5, No. () pp. 19 to 19 # The Japan Institute of Metals Interreactions of Thin Film on Bulk -TiAl and on Bulk -Ti 3 Al Alloys at 7 1 C Min-Sheng Chu and Shyi-Kaan Wu* Department

More information

Microstructural study of titanium carbide coating on cemented carbide

Microstructural study of titanium carbide coating on cemented carbide JOURNAL OF MATERIALS SCIENCE 17 (1982) 589-594 Microstructural study of titanium carbide coating on cemented carbide S. VUORINEN, A. HORSEWELL* Laboratory of Applied Physics I, Technical University of

More information

FABRICATION PROCESSES FOR MAGNETIC MICROACTUATORS WITH POLYSILICON FLEXURES. Jack W. Judy and Richard S. Muller

FABRICATION PROCESSES FOR MAGNETIC MICROACTUATORS WITH POLYSILICON FLEXURES. Jack W. Judy and Richard S. Muller FABRICATION PROCESSES FOR MAGNETIC MICROACTUATORS WITH POLYSILICON FLEXURES Jack W. Judy and Richard S. Muller Berkeley Sensor & Actuator Center (BSAC) Department of EECS, University of California, Berkeley,

More information

Investigating the crystal orientation of SiC CVD using orientation imaging microscopy (OIM) & X-ray diffraction (XRD) by Deepak Ravindra

Investigating the crystal orientation of SiC CVD using orientation imaging microscopy (OIM) & X-ray diffraction (XRD) by Deepak Ravindra Investigating the crystal orientation of SiC CVD using orientation imaging microscopy (OIM) & X-ray diffraction (XRD) by Deepak Ravindra Project Details SiC coating is ~200 microns thick on SiC substrate

More information

Fabrication of Magnesium Oxide Ceramics with Density Close to Theoretical Using Nanopowders

Fabrication of Magnesium Oxide Ceramics with Density Close to Theoretical Using Nanopowders Fabrication of Magnesium Oxide Ceramics with Density Close to Theoretical Using Nanopowders Jing Yang, Tzu-Chieh Lin, Bill Manett, Jeremy Young, Dan Rooney Eugene Medvedovski SCI Engineered Materials,

More information

Thermal Diffusivity Measurement of SnO 2. -CuO Ceramic at Room Temperature

Thermal Diffusivity Measurement of SnO 2. -CuO Ceramic at Room Temperature Pertanika J. Sci. & Technol. 16 (): 65-73 (008) ISSN: 018-7680 Universiti Putra Malaysia Press Thermal Diffusivity Measurement of SnO -CuO Ceramic at Room Temperature Aiza M.M.*, Zaidan A.W., Wan Mahmood

More information

Co-Evolution of Stress and Structure During Growth of Polycrystalline Thin Films

Co-Evolution of Stress and Structure During Growth of Polycrystalline Thin Films Co-Evolution of Stress and Structure During Growth of Polycrystalline Thin Films Carl V. Thompson and Hang Z. Yu* Dept. of Materials Science and Engineering MIT, Cambridge, MA, USA Effects of intrinsic

More information

Specimen configuration

Specimen configuration APPLICATIONNOTE Model 1040 NanoMill TEM specimen preparation system Specimen configuration Preparing focused ion beam (FIB) milled specimens for submission to Fischione Instruments. The Model 1040 NanoMill

More information

Project III. 4: THIN FILM DEVICES FOR LARGE AREA ELECTRONICS

Project III. 4: THIN FILM DEVICES FOR LARGE AREA ELECTRONICS Project III. 4: THIN FILM DEVICES FOR LARGE AREA ELECTRONICS Project leader: Dr D.N. Kouvatsos Collaborating researchers from other projects: Dr D. Davazoglou Ph.D. candidates: M. Exarchos, L. Michalas

More information

EFFECT OF THE MICROSTRUCTURE OF Ni/Au METALLIZATION ON BONDABILITY OF FR-4 SUBSTRATE

EFFECT OF THE MICROSTRUCTURE OF Ni/Au METALLIZATION ON BONDABILITY OF FR-4 SUBSTRATE EFFECT OF THE MICROSTRUCTURE OF Ni/Au METALLIZATION ON BONDABILITY OF FR-4 SUBSTRATE Zonghe Lai and Johan Liu The Swedish Institute of Production Engineering Research (IVF) S-431 53 Mölndal, Sweden ABSTRACT

More information

Effect of nanoimprinted surface relief on Si and Ge nucleation and ordering

Effect of nanoimprinted surface relief on Si and Ge nucleation and ordering Microelectronics Journal 37 (2006) 1481 1485 www.elsevier.com/locate/mejo Effect of nanoimprinted surface relief on Si and Ge nucleation and ordering T.I. Kamins a,, A.A. Yasseri a,1, S. Sharma a,2, R.F.W.

More information

Copyright 2008 Year IEEE. Reprinted from IEEE ECTC May 2008, Florida USA.. This material is posted here with permission of the IEEE.

Copyright 2008 Year IEEE. Reprinted from IEEE ECTC May 2008, Florida USA.. This material is posted here with permission of the IEEE. Copyright 2008 Year IEEE. Reprinted from IEEE ECTC 2008. 27-30 May 2008, Florida USA.. This material is posted here with permission of the IEEE. Such permission of the IEEE does not in any way imply IEEE

More information

Isolation of elements

Isolation of elements 1 In an IC, devices on the same substrate must be isolated from one another so that there is no current conduction between them. Isolation uses either the junction or dielectric technique or a combination

More information

Ag Plating and Its Impact on Void-Free Ag/Sn Bumping

Ag Plating and Its Impact on Void-Free Ag/Sn Bumping Ag Plating and Its Impact on Void-Free Ag/Sn Bumping Hirokazu Ezawa, Kazuhito Higuchi, Msaharu Seto, Takashi Togasaki, Sachiko Takeda* and Rei Kiumi* Toshiba Corporation Semiconductor Company Advanced

More information

In-situ Heating Characterisation Using EBSD

In-situ Heating Characterisation Using EBSD Webinar In-situ Heating Characterisation Using EBSD Speakers Dr. Ali Gholinia Dr. Neil Othen Dr. Jenny Goulden Topics Introduction to EBSD Why do in-situ experiments? EBSD equipment requirements for in-situ

More information

EBSD Basics EBSD. Marco Cantoni 021/ Centre Interdisciplinaire de Microscopie Electronique CIME. Phosphor Screen. Pole piece.

EBSD Basics EBSD. Marco Cantoni 021/ Centre Interdisciplinaire de Microscopie Electronique CIME. Phosphor Screen. Pole piece. EBSD Marco Cantoni 021/693.48.16 Centre Interdisciplinaire de Microscopie Electronique CIME EBSD Basics Quantitative, general microstructural characterization in the SEM Orientation measurements, phase

More information

3D technologies for integration of MEMS

3D technologies for integration of MEMS 3D technologies for integration of MEMS, Fraunhofer Institute for Electronic Nano Systems Folie 1 Outlook Introduction 3D Processes Process integration Characterization Sample Applications Conclusion Folie

More information

Jeong et al.: Effect of the Formation of the Intermetallic Compounds (1/7)

Jeong et al.: Effect of the Formation of the Intermetallic Compounds (1/7) Jeong et al.: Effect of the Formation of the Intermetallic Compounds (1/7) Effect of the Formation of the Intermetallic Compounds between a Tin Bump and an Electroplated Copper Thin Film on both the Mechanical

More information

Dislocation and Deformation Mechanisms in Thin Metal Films and Multilayers I

Dislocation and Deformation Mechanisms in Thin Metal Films and Multilayers I Dislocation and Deformation Mechanisms in Thin Metal Films and Multilayers I Mat. Res. Soc. Symp. Proc. Vol. 673 2001 Materials Research Society Constrained Diffusional Creep in Thin Copper Films D. Weiss,

More information

OPTIMIZED SEMI-ADDITIVE PROCESS FOR POLYIMIDE AS DIELECTRIC IN BUILD UP PACKAGES

OPTIMIZED SEMI-ADDITIVE PROCESS FOR POLYIMIDE AS DIELECTRIC IN BUILD UP PACKAGES OPTIMIZED SEMI-ADDITIVE PROCESS FOR POLYIMIDE AS DIELECTRIC IN BUILD UP PACKAGES Fei Peng 1, Ernest Long 1, Jim Watkowski 1, Kesheng Feng 1, Naomi Ando 2, Kazuhiro Inazu 2 1 MacDermid, 227 Freight St,

More information

Selective Vapor Deposition

Selective Vapor Deposition Selective Vapor Deposition The Harvard community has made this article openly available. Please share how this access benefits you. Your story matters. Citation Accessed Citable Link Terms of Use Gordon,

More information

Annealing effects on Pt coating morphology

Annealing effects on Pt coating morphology Annealing effects on Pt coating morphology Masina C J 1,2, Topić M 2, Ndwandwe M O 1, Thabezhe N F 1, Nkosi M M 2 and Bucher R 2 1 Department of Physics and Engineering, University of Zululand, Private

More information