First 50mm thick LGAD fabrication at CNM for the HGTD and CT-PPS

Size: px
Start display at page:

Download "First 50mm thick LGAD fabrication at CNM for the HGTD and CT-PPS"

Transcription

1 First 50mm thick LGAD fabrication at CNM for the HGTD and CT-PPS M. Carulla, P. Fernández-Martínez, D. Flores, S. Hidalgo, A. Merlos, G. Pellegrini, D. Quirion Centro Nacional de Microelectrónica, IMB-CNM-CSIC, Barcelona, Spain Work done in the framework of the RD50 CERN collaboration 0

2 Outline o Motivation o HGTD & CT-PPS Structure Simulation o HGTD & CT-PPS Electrical Performance Simulation o HGTD & CT-PPS Mask set o HGTD & CT-PPS Fabrication Run o First Measurements o Conclusions 1

3 50mm thick LGAD Motivation o Integrate a small gain (5-10) in a sensor while maintaining similar noise levels and avoiding readout front-end saturation & pile-up effects. o A reduction of substrate thickness from 300um to 50um will reduce the Bulk Radiation Effects and will decrease the collection time. o ATLAS is proposing Ultra Fast Silicon Detector based on LGAD as one of the technical options for the High Granularity Timing Detector (HGTD) o CMS-TOTEM are considering UFSD to be the timing detectors for the high momentum- high rapidity Precision Proton Spectrometer (CT-PPS) 2

4 High Granularity Timing Detector (HGTD) o Pixel 3000x3000 µm 2 and 2000x2000 µm 2 o High Resistivity P-type 50 µm SOI Wafers o New Run in progress with 75µm & 50 µm Epitaxial Wafers o Core o Termination 3

5 CMS-TOTEM Precision Proton Spectrometer (CT-PPS) o Core o Termination o Asymmetric design. Segmented accordingly to the hit density distribution o Area = 12mm X 6mm o Thickness = 50 mm o Slim edge of 200 um on the side facing the beam o Gain ~ 15 o Radiation Hard o Specifications proposed by Nicolo Cartiglia 4

6 Electrical Performance Simulation (Dose cm -2 ) 140V VFD < 140V Termination 5

7 MIP Simulation and Gain. 6

8 C A2 HGTD CTPPS detectors (mask design) A1 A2 D B2 B1 B2 C A1=8x8 matrix, 3mm pad B1=8x8 matrix, 2mm pad A2=4x4 matrix, 3mm pad B2=4x4 matrix, 2mm pad A3=2x2 matrix, 3mm pad B3=2x2 matrix, 2mm pad A4=2x2 matrix, 3mm pad, PIN B4=2x2 matrix, 2mm pad, PIN C=CT-PPS D=TOTEM detector Different diodes and test structures. C A3 A3 A4 B3 B3 B4 C D D Core HGTD 7

9 Summary of Wafers fabricated Wafers # 10x SOI HPR 50um 3x FZ HRP 285um 1 Dummy (gluing test) 14 W3-W12 W1; W2 & W14 W13 Multiplication Ion Energy (kev) Dose (atm/cm 2 ) Wafer B 100 1,90E+13 W1-W2; W5-W10 B 100 1,80E+13 W3 & W4 B 100 2,00E+13 W11 & W12 - No Multiplication No Multiplication W14 o W6 & W10 were broken during fabrication. o 8 SOI wafers + 3 FZ 300um wafers + 1 dummy are ok. o 4 SOI wafers + dummy ready for testing. 8

10 First 50um thick LGAD o 4 wafers with different doses were finished last Thursday Front Surface Back Surface 9

11 First 50um thick LGAD Back Surface Front Surface Guard ring pad Back-side wet etching opening HGTD Aluminium reaches the back side contact Passivation opening CT-PPS 10

12 Connections to FE o Pixel 3000x3000 µm 2. o High Resistivity P-type 50 µm SOI Wafers Guard ring pad Passivation opening FE n+ p+ Al glue 3000um PCB Al 30um p-stop p++ Al p- SiO2 50um 300um Wet etching of silicon Didier Lacour for LPNHE, Active Sensors Unit assembly process for the ATLAS High Granularity Timing Device, Santa Cruz meeting Calice Si-W calorimeter concept 11

13 First Measurements. IV Characteristics T=21ºC simulation 12

14 First Measurements. CV Characteristics Dose cm -2 Dose cm -2 V FZ ~40V V FZ ~40V Dose cm -2 V FZ >40V Different geometry factor 13

15 I(t) stability o The experimental data show a good Current stability in Reverse mode (between 1.5 and 2.0 na) 14

16 Summary o Detectors are working as expected by simulation, at the moment we measured test pad structures o 4 SOI wafers are ready for dicing. They will be diced during this week o 3 FZ are already diced and 2 of them were sent to LPNHE o All the SOI wafers will be finished this week o Future work Exhaustive electrical testing Mechanical Test Charge collection measurements Timing measurements Radiation Hardness 15

17 Thank you for your attention!!!! 16

18 Summary of LGAD Activities at IMB-CNM Clean Room No. Run Tipo # Wafers PiN Waf Mask Set P-Well Drivein Implant Mask Year st APD 8 - CNM Doses Long Photoresist nd APD 9 2 CNM Doses Short Photoresist rh APD 4 2 CNM Doses Short Oxide / th APD 4 - CNM Doses Short Oxide / th APD 5 1 CNM Doses Short Oxide st LGAD 11 1 CNM Doses Short Oxide / nd LGAD 13 1 CNM Doses Short Oxide / th LGAD 7 1 CNM Doses Short Oxide rh LGAD 7 1 CNM Doses Short Oxide st Gallium 3 - CNM Doses Short Oxide / st 200 µm 10 - CNM Doses Short Oxide

19 Summary of LGAD Activities at IMB-CNM Clean Room No. Run Tipo # Wafers PiN Waf Mask Set P-Well Drivein Implant Mask Year th LGAD st SOI st ilgad 6+3 (Ga) th LGAD 6+3(Ga) - CNM 761 CNM 784 CNM 809 CNM Doses Short Oxide Dose Short Oxide Doses Short Oxide Doses Short Oxide st SOI 50 µm 14 1 CNM Doses Short Oxide 2016 Good R&D mainly financed by Spanish research project and partially by CERN RD50 collaboration Under electrical testing Still in process Calibration run 18

20 1 CURRENT MAP AT: 100 V LGAD, 300 µm Substrate Yield improved in new fabrications, good repeatability Low leakage current and high breakdown voltage. 1 CURRENT MAP AT: 100 V A 0,92 ##### ##### 0,24 0,19 0,24 A 3,96 ##### ##### 0,25 0,27 0,34 B ##### 0,26 5,64 ##### 0,26 0,27 B ##### 0,29 1,20 2,40 0,21 0,23 ##### 0,26 C 6, , ,00 ##### ##### 0,23 0,30 8,04 0,26 C 3, ,08 147,33 1,30 ##### 0,23 0,27 0,72 ##### ##### 0,59 ##### ##### D 1,39 1,08 1,13 ##### 0,18 0,18 0,18 0,19 0,19 0,17 0,79 0,37 2,50 1,45 0,40 3,09 1,65 D 2,04 1,16 1,07 6,05 0,15 0,15 0,14 0,17 0,18 0,18 ##### 0,47 0,72 ##### 0,55 ##### 0,22 E 3983, , ,60 ##### 0,26 0,21 ##### 0,73 0,85 2,89 0,38 0,77 0,26 E 3,50 5,00 4,37 2,33 0,18 0,16 ##### F 0,48 0,29 4, , ,10 ##### 80,91 0,31 0,26 F 2,57 347,50 960,66 #### 1,31 ##### 0,29 G 6, , ,10 127,98 0,90 0,81 0,28 ##### G 2,59 3,31 8,39 0,88 0,81 2,30 H ##### ##### 0,20 0,28 ##### 0,25 H ##### 0,65 0,20 0,30 3,75 0,30 ##### ##### 0,23 0,26 I 5, , ,00 ##### ##### 0,45 ##### 0,19 0,27 I 2, , ,00 ##### ##### J ##### ##### ##### ##### ##### ##### J ##### ##### ##### 0,35 0,30 0, Unidades 1,00E-08 A Units 1,00E-08 A na scale o

Status Report: Optimization and Layout Design of AGIPD Sensor

Status Report: Optimization and Layout Design of AGIPD Sensor Status Report: Optimization and Layout Design of AGIPD Sensor Joern Schwandt, Jiaguo Zhang and Robert Klanner Institute for Experimental Physics, Hamburg University Jiaguo Zhang, Hamburg University 10th

More information

Silicon Wafer Processing PAKAGING AND TEST

Silicon Wafer Processing PAKAGING AND TEST Silicon Wafer Processing PAKAGING AND TEST Parametrical test using test structures regularly distributed in the wafer Wafer die test marking defective dies dies separation die fixing (not marked as defective)

More information

5.8 Diaphragm Uniaxial Optical Accelerometer

5.8 Diaphragm Uniaxial Optical Accelerometer 5.8 Diaphragm Uniaxial Optical Accelerometer Optical accelerometers are based on the BESOI (Bond and Etch back Silicon On Insulator) wafers, supplied by Shin-Etsu with (100) orientation, 4 diameter and

More information

Lecture 5. SOI Micromachining. SOI MUMPs. SOI Micromachining. Silicon-on-Insulator Microstructures. Agenda:

Lecture 5. SOI Micromachining. SOI MUMPs. SOI Micromachining. Silicon-on-Insulator Microstructures. Agenda: EEL6935 Advanced MEMS (Spring 2005) Instructor: Dr. Huikai Xie SOI Micromachining Agenda: SOI Micromachining SOI MUMPs Multi-level structures Lecture 5 Silicon-on-Insulator Microstructures Single-crystal

More information

Isolation Technology. Dr. Lynn Fuller

Isolation Technology. Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Isolation Technology Dr. Lynn Fuller Motorola Professor 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Fax (585) 475-5041

More information

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005 3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005 1) This is an open book, take-home quiz. You are not to consult with other class members or anyone else. You may discuss the

More information

Isolation of elements

Isolation of elements 1 In an IC, devices on the same substrate must be isolated from one another so that there is no current conduction between them. Isolation uses either the junction or dielectric technique or a combination

More information

Process Flow in Cross Sections

Process Flow in Cross Sections Process Flow in Cross Sections Process (simplified) 0. Clean wafer in nasty acids (HF, HNO 3, H 2 SO 4,...) --> wear gloves! 1. Grow 500 nm of SiO 2 (by putting the wafer in a furnace with O 2 2. Coat

More information

A new Glass GEM with a single sided guard-ring structure

A new Glass GEM with a single sided guard-ring structure A new Glass GEM with a single sided guard-ring structure RD-51 session! 5 July, 2013!! Yuki MITSUYA a, Takeshi FUJIWARA b, Hiroyuki TAKAHASHI a!! a Department of Nuclear Engineering and Management, The

More information

CMOS FABRICATION. n WELL PROCESS

CMOS FABRICATION. n WELL PROCESS CMOS FABRICATION n WELL PROCESS Step 1: Si Substrate Start with p- type substrate p substrate Step 2: Oxidation Exposing to high-purity oxygen and hydrogen at approx. 1000 o C in oxidation furnace SiO

More information

Surface micromachining and Process flow part 1

Surface micromachining and Process flow part 1 Surface micromachining and Process flow part 1 Identify the basic steps of a generic surface micromachining process Identify the critical requirements needed to create a MEMS using surface micromachining

More information

Radiation Tolerant Isolation Technology

Radiation Tolerant Isolation Technology Radiation Tolerant Isolation Technology Background The following contains a brief description of isolation technologies used for radiation hardened integrated circuits. The technologies mentioned are junction

More information

Optimization of optical performances in submicron silicon-on-insulator rib and strip waveguides by H 2 thermal annealing

Optimization of optical performances in submicron silicon-on-insulator rib and strip waveguides by H 2 thermal annealing I N S T I T U T D E R E C H E R C H E T E C H N O L O G I Q U E Optimization of optical performances in submicron silicon-on-insulator rib and strip waveguides by H thermal annealing Erwine Pargon 1, Cyril

More information

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller Webpage: http://www.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604

More information

Novel methods to reduce leakage current in Si PIN photodiodes designed and fabricated with different dielectrics

Novel methods to reduce leakage current in Si PIN photodiodes designed and fabricated with different dielectrics Indian Journal of Pure & Applied Physics Vol. 52, September 2014, pp. 637-644 Novel methods to reduce leakage current in Si PIN photodiodes designed and fabricated with different dielectrics Y P Prabhakara

More information

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate Development of Sidewalls Passivating Films Sidewalls get inert species deposited on them with plasma etch Creates

More information

Fabrication Technology

Fabrication Technology Fabrication Technology By B.G.Balagangadhar Department of Electronics and Communication Ghousia College of Engineering, Ramanagaram 1 OUTLINE Introduction Why Silicon The purity of Silicon Czochralski

More information

NanoSystemsEngineering: NanoNose Final Status, March 2011

NanoSystemsEngineering: NanoNose Final Status, March 2011 1 NanoSystemsEngineering: NanoNose Final Status, March 2011 The Nanonose project is based on four research projects (VCSELs, 3D nanolithography, coatings and system integration). Below, the major achievements

More information

2007 Elsevier Science. Reprinted with permission from Elsevier.

2007 Elsevier Science. Reprinted with permission from Elsevier. J. Härkönen, E. Tuovinen, P. Luukka, H.K. Nordlund, and E. Tuominen, Magnetic Czochralski silicon as detector material, Nuclear Instruments and Methods in Physics Research A 579 (2007) 648 652. 2007 Elsevier

More information

Supporting Information

Supporting Information Supporting Information Fast-Response, Sensitivitive and Low-Powered Chemosensors by Fusing Nanostructured Porous Thin Film and IDEs-Microheater Chip Zhengfei Dai,, Lei Xu,#,, Guotao Duan *,, Tie Li *,,

More information

High Temperature Oxygen Out-Diffusion from the Interfacial SiOx Bond Layer in Direct Silicon Bonded (DSB) Substrates

High Temperature Oxygen Out-Diffusion from the Interfacial SiOx Bond Layer in Direct Silicon Bonded (DSB) Substrates High Temperature Oxygen Out-Diffusion from the Interfacial SiOx Bond Layer in Direct Silicon Bonded (DSB) Substrates Jim Sullivan, Harry R. Kirk, Sien Kang, Philip J. Ong, and Francois J. Henley Silicon

More information

VLSI Systems and Computer Architecture Lab

VLSI Systems and Computer Architecture Lab ΚΥΚΛΩΜΑΤΑ VLSI Πανεπιστήμιο Ιωαννίνων CMOS Technology Τμήμα Μηχανικών Η/Υ και Πληροφορικής 1 From the book: An Introduction ti to VLSI Process By: W. Maly ΚΥΚΛΩΜΑΤΑ VLSI Διάρθρωση 1. N well CMOS 2. Active

More information

Modeling of Local Oxidation Processes

Modeling of Local Oxidation Processes Introduction Isolation Processes in the VLSI Technology Main Aspects of LOCOS simulation Athena Oxidation Models Several Examples of LOCOS structures Calibration of LOCOS effects using VWF Field Oxide

More information

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1 Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1 LECTURE 030 INTEGRATED CIRCUIT TECHNOLOGY - I (References [7,8]) Objective The objective of this presentation is: 1.) Illustrate integrated

More information

Packaging Commercial CMOS Chips for Lab on a Chip Integration

Packaging Commercial CMOS Chips for Lab on a Chip Integration Supporting Information for Packaging Commercial CMOS Chips for Lab on a Chip Integration by Timir Datta-Chaudhuri, Pamela Abshire, and Elisabeth Smela Biocompatibility Although the supplier s instructions

More information

UTILIZATION OF ATMOSPHERIC PLASMA SURFACE PREPARATION TO IMPROVE COPPER PLATING PROCESSES.

UTILIZATION OF ATMOSPHERIC PLASMA SURFACE PREPARATION TO IMPROVE COPPER PLATING PROCESSES. SESSION 14 MATERIALS AND PROCESSES FOR ADVANCED PACKAGING UTILIZATION OF ATMOSPHERIC PLASMA SURFACE PREPARATION TO IMPROVE COPPER PLATING PROCESSES. Eric Schulte 1, Gilbert Lecarpentier 2 SETNA Corporation

More information

VLSI Technology. By: Ajay Kumar Gautam

VLSI Technology. By: Ajay Kumar Gautam By: Ajay Kumar Gautam Introduction to VLSI Technology, Crystal Growth, Oxidation, Epitaxial Process, Diffusion Process, Ion Implantation, Lithography, Etching, Metallization, VLSI Process Integration,

More information

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook:

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook: HOMEWORK 4 and 5 March 15, 2009 Homework is due on Monday March 30, 2009 in Class. Chapter 7 Answer the following questions from the Course Textbook: 7.2, 7.3, 7.4, 7.5, 7.6*, 7.7, 7.9*, 7.10*, 7.16, 7.17*,

More information

A CO2 cooling test system

A CO2 cooling test system A CO2 cooling test system Outline: 1. Reduce material budget (by combining cooling pipe, mechanical support and current leads into single structure?) 2. Cooling with CO2 3. First test results CERN Cooling

More information

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

Semiconductor Manufacturing Technology. IC Fabrication Process Overview Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 00 by Prentice Hall Chapter 9 IC Fabrication Process Overview /4 Objectives After studying the material in this chapter, you

More information

Process steps for Field Emitter devices built on Silicon wafers And 3D Photovoltaics on Silicon wafers

Process steps for Field Emitter devices built on Silicon wafers And 3D Photovoltaics on Silicon wafers Process steps for Field Emitter devices built on Silicon wafers And 3D Photovoltaics on Silicon wafers David W. Stollberg, Ph.D., P.E. Research Engineer and Adjunct Faculty GTRI_B-1 Field Emitters GTRI_B-2

More information

micro resist technology

micro resist technology Characteristics Processing guidelines Negative Tone Photoresist Series ma-n 2400 ma-n 2400 is a negative tone photoresist series designed for the use in micro- and nanoelectronics. The resists are available

More information

SOI PIEZORESISTIVE LOW PRESSURE SENSOR FOR HIGH TEMPERATURE ENVIRONMENTS

SOI PIEZORESISTIVE LOW PRESSURE SENSOR FOR HIGH TEMPERATURE ENVIRONMENTS SOI PIEZORESISTIVE LOW PRESSURE SENSOR FOR HIGH TEMPERATURE ENVIRONMENTS MILČE M. SMILJANIĆ KATARINA RADULOVIĆ ŽARKO LAZIĆ VESNA JOVIĆ BOGDAN POPOVIĆ Institute of Chemistry, Technology and Metallurgy-Centre

More information

Thin Wafers Bonding & Processing

Thin Wafers Bonding & Processing Thin Wafers Bonding & Processing A market perspective 2012 Why New Handling Technologies Consumer electronics is today a big driver for smaller, higher performing & lower cost device configurations. These

More information

SOIMUMPs Design Handbook

SOIMUMPs Design Handbook SOIMUMPs Design Handbook a MUMPs process C. J. Han, Allen Cowen, Greg Hames and Busbee Hardy MEMScAP Revision 3.0 Copyright 2002 by MEMScAP. All rights reserved. Permission to use and copy for internal,

More information

Iván Fernández-Martínez

Iván Fernández-Martínez Nanogap fabrication based on strained III-V beams Iván Fernández-Martínez NanoSpain 2008 NanoIberian Conference Nanofabrication Parallel Session Braga - Portugal Instituto de Microelectrónica de Madrid

More information

Study of a Thermal Annealing Approach for Very High Total Dose Environments

Study of a Thermal Annealing Approach for Very High Total Dose Environments Study of a Thermal Annealing Approach for Very High Total Dose Environments S. Dhombres 1-2, J. Boch 1, A. Michez 1, S. Beauvivre 2, D. Kraehenbuehl 2, F. Saigné 1 RADFAC 2015 26/03/2015 1 Université Montpellier,

More information

Backsplash study. Alex Moiseev 05/22/2001

Backsplash study. Alex Moiseev 05/22/2001 Backsplash study Alex Moiseev 05/22/2001 1 Purpose High energy particle instruments which contain a heavy calorimeter to measure the energy of detected particles produce radiation emitted backward from

More information

Processing guidelines. Negative Tone Photoresist Series ma-n 2400

Processing guidelines. Negative Tone Photoresist Series ma-n 2400 Characteristics Processing guidelines Negative Tone Photoresist Series ma-n 2400 ma-n 2400 is a negative tone photoresist series designed for the use in micro- and nanoelectronics. The resists are available

More information

FOR OFFICIAL USE ONLY

FOR OFFICIAL USE ONLY REVISION RECORD REV DESCRIPTION DATE 0 INITIAL RELEASE 10/05/10 CAUTION: ELECTROSTATIC DISCHARGE SENSITIVE PART REVISION PAGE NO. 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 INDEX REVISION 0 0 0 0 0 0 0 0 0 0

More information

IMP EPD End Point Detector

IMP EPD End Point Detector IMP EPD End Point Detector An overview of the Hiden Analytical SIMS end point detector system for ion beam etch applications IMP-EPD Presentation Topics The topics covered in the presentation include:

More information

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University 2014 Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University Page1 Syllabus UNIT 1 Introduction to VLSI Technology: Classification of ICs, Scale of integration,

More information

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther EECS 40 Spring 2003 Lecture 19 Microfabrication 4/1/03 Prof. ndy Neureuther How are Integrated Circuits made? Silicon wafers Oxide formation by growth or deposition Other films Pattern transfer by lithography

More information

Quality and Reliability Report

Quality and Reliability Report Quality and Reliability Report Product Qualification MAAM-008819 2mm 8-Lead PDFN Plastic Package QTR-0147 M/A-COM Technology Solutions Inc. 100 Chelmsford Street Lowell, MA 01851 Tel: (978) 656-2500 Fax:

More information

ME 189 Microsystems Design and Manufacture. Chapter 9. Micromanufacturing

ME 189 Microsystems Design and Manufacture. Chapter 9. Micromanufacturing ME 189 Microsystems Design and Manufacture Chapter 9 Micromanufacturing This chapter will offer an overview of the application of the various fabrication techniques described in Chapter 8 in the manufacturing

More information

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS AND FABRICATION ENGINEERING ATTHE MICRO- NANOSCALE Fourth Edition STEPHEN A. CAMPBELL University of Minnesota New York Oxford OXFORD UNIVERSITY PRESS CONTENTS Preface xiii prrt i OVERVIEW AND MATERIALS

More information

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development TSV Processing and Wafer Stacking Kathy Cook and Maggie Zoberbier, 3D Business Development Outline Why 3D Integration? TSV Process Variations Lithography Process Results Stacking Technology Wafer Bonding

More information

LITE-ON SEMICONDUCTOR CORP. DISCRETE DIVISION LITE ON DISCRETE DIVISION. Product Information. Shanghai Seefull

LITE-ON SEMICONDUCTOR CORP. DISCRETE DIVISION LITE ON DISCRETE DIVISION. Product Information. Shanghai Seefull Product Information CONTENT PRODUCT OFFERING CHIP CONSTRUCTION PRODUCT STRUCTURE DIAGRAM PART NUMBER MATRIX OUTLINE DRAWING Product Offering Diodes & Rectifiers Bridge Rectifiers Schottky Rectifiers Zeners

More information

LYSO Crystal Based Shashlik Calorimeter Cell Design

LYSO Crystal Based Shashlik Calorimeter Cell Design LYSO Crystal Based Shashlik Calorimeter Cell Design Ren-Yuan Zhu California Institute of Technology August 30, 2012 Talk given at CMS Forward Calorimetry Taskforce Meeting Options for CMS FCAL Upgrade

More information

Ion Implantation Most modern devices doped using ion implanters Implant dopants by accelerating individual atoms (ions) Ionize gas sources (single +,

Ion Implantation Most modern devices doped using ion implanters Implant dopants by accelerating individual atoms (ions) Ionize gas sources (single +, Ion Implantation Most modern devices doped using ion implanters Implant dopants by accelerating individual atoms (ions) Ionize gas sources (single +, 2+ or 3+ ionization) Use analyzer to selection charge/mass

More information

A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon

A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon April 2009 A Deep Silicon RIE Primer 1.0) Etching: Silicon does not naturally etch anisotropically in fluorine based chemistries. Si

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

Technological EUDET ECAL Prototype. Marc Anduze CALICE Meeting KOBE 10/05/07

Technological EUDET ECAL Prototype. Marc Anduze CALICE Meeting KOBE 10/05/07 Mechanical lr&d for Technological EUDET ECAL Prototype Why this prototype? ECAL Prototype Next step after the physics prototype and before the module 0 To study full scale technological solutions which

More information

Quality and Reliability Report

Quality and Reliability Report Quality and Reliability Report Product Qualification MASW-007921 2mm 8-Lead Plastic Package QTR-0148 M/A-COM Technology Solutions Inc. 100 Chelmsford Street Lowell, MA 01851 Tel: (978) 656-2500 Fax: (978)

More information

Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very

Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very high voltages (10-600 KeV) Use analyzer to selection charge/mass

More information

1. Introduction. What is implantation? Advantages

1. Introduction. What is implantation? Advantages Ion implantation Contents 1. Introduction 2. Ion range 3. implantation profiles 4. ion channeling 5. ion implantation-induced damage 6. annealing behavior of the damage 7. process consideration 8. comparison

More information

EE-612: Lecture 28: Overview of SOI Technology

EE-612: Lecture 28: Overview of SOI Technology EE-612: Lecture 28: Overview of SOI Technology Mark Lundstrom Electrical and Computer Engineering Purdue University West Lafayette, IN USA Fall 2006 NCN www.nanohub.org Lundstrom EE-612 F06 1 outline 1)

More information

Cu Pillar Interconnect and Chip-Package-Interaction (CPI) for Advanced Cu Low K chip

Cu Pillar Interconnect and Chip-Package-Interaction (CPI) for Advanced Cu Low K chip EPRC 12 Project Proposal Cu Pillar Interconnect and Chip-Package-Interaction (CPI) for Advanced Cu Low K chip 15 th Aug 2012 Page 1 Introduction: Motivation / Challenge Silicon device with ultra low k

More information

Lecture 10: MultiUser MEMS Process (MUMPS)

Lecture 10: MultiUser MEMS Process (MUMPS) MEMS: Fabrication Lecture 10: MultiUser MEMS Process (MUMPS) Prasanna S. Gandhi Assistant Professor, Department of Mechanical Engineering, Indian Institute of Technology, Bombay, 1 Recap Various VLSI based

More information

SUMMiT V Five Level Surface Micromachining Technology Design Manual

SUMMiT V Five Level Surface Micromachining Technology Design Manual SUMMiT V Five Level Surface Micromachining Technology Design Manual Version 1.3 09/22/2005 MEMS Devices and Reliability Physics Department Microelectronics Development Laboratory Sandia National Laboratories

More information

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras Lecture - 33 Problems in LOCOS + Trench Isolation and Selective Epitaxy So, we are discussing

More information

Inductive Coupled Plasma (ICP) Textures as Alternative for Wet Chemical Etching in Solar Cell Fabrication

Inductive Coupled Plasma (ICP) Textures as Alternative for Wet Chemical Etching in Solar Cell Fabrication Inductive Coupled Plasma (ICP) Textures as Alternative for Wet Chemical Etching in Solar Cell Fabrication 1 Motivation 2 Experimental setup 3 ICP textures as alternative technique 3.1 Surface morphology

More information

Implant Metrology for Bonded SOI Wafers Using a Surface Photo-Voltage Technique

Implant Metrology for Bonded SOI Wafers Using a Surface Photo-Voltage Technique Implant Metrology for Bonded SOI Wafers Using a Surface Photo-Voltage Technique Adam Bertuch a, Wesley Smith a, Ken Steeples a, Robert Standley b, Anca Stefanescu b, and Ron Johnson c a QC Solutions Inc.,

More information

Technical Data Sheet Technisches Datenblatt

Technical Data Sheet Technisches Datenblatt AZ ECI 3000 Photoresist Universal i-line/crossover Photoresist Series GENERAL INFORMATION AZ ECI 3000 photoresist series are a family of fast positive resists with high resolution capabilities (0.4 µm

More information

R Sensor resistance (Ω) ρ Specific resistivity of bulk Silicon (Ω cm) d Diameter of measuring point (cm)

R Sensor resistance (Ω) ρ Specific resistivity of bulk Silicon (Ω cm) d Diameter of measuring point (cm) 4 Silicon Temperature Sensors 4.1 Introduction The KTY temperature sensor developed by Infineon Technologies is based on the principle of the Spreading Resistance. The expression Spreading Resistance derives

More information

Atomic Layer Deposition(ALD)

Atomic Layer Deposition(ALD) Atomic Layer Deposition(ALD) AlO x for diffusion barriers OLED displays http://en.wikipedia.org/wiki/atomic_layer_deposition#/media/file:ald_schematics.jpg Lam s market-leading ALTUS systems combine CVD

More information

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Metallization deposition and etching. Material mainly taken from Campbell, UCCS Metallization deposition and etching Material mainly taken from Campbell, UCCS Application Metallization is back-end processing Metals used are aluminum and copper Mainly involves deposition and etching,

More information

Section 4: Thermal Oxidation. Jaeger Chapter 3. EE143 - Ali Javey

Section 4: Thermal Oxidation. Jaeger Chapter 3. EE143 - Ali Javey Section 4: Thermal Oxidation Jaeger Chapter 3 Properties of O Thermal O is amorphous. Weight Density =.0 gm/cm 3 Molecular Density =.3E molecules/cm 3 O Crystalline O [Quartz] =.65 gm/cm 3 (1) Excellent

More information

Bulk MEMS Fabrication Blog 2017 Dr. Lynn Fuller, Casey Gonta, Patsy Cadareanu

Bulk MEMS Fabrication Blog 2017 Dr. Lynn Fuller, Casey Gonta, Patsy Cadareanu ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Bulk MEMS Fabrication Blog 2017 Dr. Lynn Fuller, Casey Gonta, Patsy Cadareanu Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive

More information

PROCESSING OF INTEGRATED CIRCUITS

PROCESSING OF INTEGRATED CIRCUITS PROCESSING OF INTEGRATED CIRCUITS Overview of IC Processing (Part I) Silicon Processing Lithography Layer Processes Use in IC Fabrication (Part II) Integrating the Fabrication Steps IC Packaging (Part

More information

SURFACE AND GAS PHASE REACTIONS FOR FLUOROCARBON PLASMA ETCHING OF SiO 2

SURFACE AND GAS PHASE REACTIONS FOR FLUOROCARBON PLASMA ETCHING OF SiO 2 27th IEEE International Conference on Plasma Science New Orleans, Louisiana June 4-7, 2000 SURFACE AND GAS PHASE REACTIONS FOR FLUOROCARBON PLASMA ETCHING OF SiO 2 Da Zhang* and Mark J. Kushner** *Department

More information

Design & Fabrication of a High-Voltage Photovoltaic Cell. Jennifer Felder

Design & Fabrication of a High-Voltage Photovoltaic Cell. Jennifer Felder SLAC-TN-12-021 Design & Fabrication of a High-Voltage Photovoltaic Cell Jennifer Felder Office of Science, Science Undergraduate Laboratory Internship (SULI) North Carolina State University SLAC National

More information

From microelectronics down to nanotechnology.

From microelectronics down to nanotechnology. From microelectronics down to nanotechnology sami.franssila@tkk.fi Contents Lithography: scaling x- and y-dimensions MOS transistor physics Scaling oxide thickness (z-dimension) CNT transistors Conducting

More information

200mm Next Generation MEMS Technology update. Florent Ducrot

200mm Next Generation MEMS Technology update. Florent Ducrot 200mm Next Generation MEMS Technology update Florent Ducrot The Most Exciting Industries on Earth Semiconductor Display Solar 20,000,000x reduction in COST PER TRANSISTOR in 30 years 1 20x reduction in

More information

Transmission Kikuchi Diffraction in the Scanning Electron Microscope

Transmission Kikuchi Diffraction in the Scanning Electron Microscope Transmission Kikuchi Diffraction in the Scanning Electron Microscope Robert Keller, Roy Geiss, Katherine Rice National Institute of Standards and Technology Nanoscale Reliability Group Boulder, Colorado

More information

In-Situ Low-Angle Cross Sectioning: Bevel Slope Flattening due to Self-Alignment Effects

In-Situ Low-Angle Cross Sectioning: Bevel Slope Flattening due to Self-Alignment Effects In-Situ Low-Angle Cross Sectioning: Bevel Slope Flattening due to Self-Alignment Effects UWE SCHEITHAUER SIEMENS AG, CT MM 7, Otto-Hahn-Ring 6, 81739 München, Germany Phone: + 49 89 636 44143 E-mail: uwe.scheithauer@siemens.com

More information

Request for Project Funding from the RD51 Common Fund

Request for Project Funding from the RD51 Common Fund Request for Project Funding from the RD51 Common Fund 30.06.2016 Title of project Sampling Calorimetry with Resistive Anode MPGDs (SCREAM) Contact person Maximilien Chefdeville, chefdevi@lapp.in2p3.fr

More information

KGC SCIENTIFIC Making of a Chip

KGC SCIENTIFIC  Making of a Chip KGC SCIENTIFIC www.kgcscientific.com Making of a Chip FROM THE SAND TO THE PACKAGE, A DIAGRAM TO UNDERSTAND HOW CPU IS MADE? Sand CPU CHAIN ANALYSIS OF SEMICONDUCTOR Material for manufacturing process

More information

Enabling Technology in Thin Wafer Dicing

Enabling Technology in Thin Wafer Dicing Enabling Technology in Thin Wafer Dicing Jeroen van Borkulo, Rogier Evertsen, Rene Hendriks, ALSI, platinawerf 2G, 6641TL Beuningen Netherlands Abstract Driven by IC packaging and performance requirements,

More information

c/bach, 2-B Pol. Ind Foinvasa Montcada i Reixac (Barcelona) SPAIN Tel FAX

c/bach, 2-B Pol. Ind Foinvasa Montcada i Reixac (Barcelona) SPAIN Tel FAX 1- What is 2- How does it work? 3- How do we make it? 4- Applications 5- Processing? WHAT IS? Thick aluminium based substrate, cladded in ED copper foil. Designed for an effective thermal dissipation and

More information

Dow Corning WL-5150 Photodefinable Spin-On Silicone

Dow Corning WL-5150 Photodefinable Spin-On Silicone Dow Corning WL-515 Photodefinable Spin-On Silicone Properties and Processing Procedures Introduction Dow Corning WL-515 is a silicone formulation which can be photopatterned and cured using standard microelectronics

More information

Blisters formation mechanism during High Dose Implanted Resist Stripping

Blisters formation mechanism during High Dose Implanted Resist Stripping Blisters formation mechanism during High Dose Implanted Resist Stripping Marion Croisy a,b,c*, Cécile Jenny a, Claire Richard a, Denis Guiheux a, Sylvain Joblot a, Alain Campo b, Erwine Pargon c, Nicolas

More information

3D technologies for integration of MEMS

3D technologies for integration of MEMS 3D technologies for integration of MEMS, Fraunhofer Institute for Electronic Nano Systems Folie 1 Outlook Introduction 3D Processes Process integration Characterization Sample Applications Conclusion Folie

More information

Thickness and composition analysis of thin film samples using FP method by XRF analysis

Thickness and composition analysis of thin film samples using FP method by XRF analysis Technical articles Thickness and composition analysis of thin film samples using FP method by XRF analysis Hikari Takahara* 1. Introduction X-ray fluorescence spectroscopy (XRF) is an elemental quantification

More information

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA Overview of CMP for TSV Applications Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA Outline TSV s and the Role of CMP TSV Pattern and Fill TSV Reveal (non-selective)

More information

Measurement of thickness of native silicon dioxide with a scanning electron microscope

Measurement of thickness of native silicon dioxide with a scanning electron microscope Measurement of thickness of native silicon dioxide with a scanning electron microscope V. P. Gavrilenko* a, Yu. A. Novikov b, A. V. Rakov b, P. A. Todua a a Center for Surface and Vacuum Research, 40 Novatorov

More information

HYDROGEN H2 SS SOLID ELECTROCHEMICAL SENSOR

HYDROGEN H2 SS SOLID ELECTROCHEMICAL SENSOR 1. Description of Technology The Hydrogen Sensor is based on the electrochemical gas detection principle. This technology can be used to detect chemicals or gases that can be oxidised or reduced in chemical

More information

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon Chapter 5 Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon 5.1 Introduction In this chapter, we discuss a method of metallic bonding between two deposited silver layers. A diffusion

More information

Etching Mask Properties of Diamond-Like Carbon Films

Etching Mask Properties of Diamond-Like Carbon Films N. New Nawachi Diamond et al. and Frontier Carbon Technology 13 Vol. 15, No. 1 2005 MYU Tokyo NDFCT 470 Etching Mask Properties of Diamond-Like Carbon Films Norio Nawachi *, Akira Yamamoto, Takahiro Tsutsumoto

More information

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation. Figure 2.1 (p. 58) Basic fabrication steps in the silicon planar process: (a) oxide formation, (b) selective oxide removal, (c) deposition of dopant atoms on wafer, (d) diffusion of dopant atoms into exposed

More information

CHAPTER 1 HOW SEMICONDUCTOR CHIPS ARE MADE

CHAPTER 1 HOW SEMICONDUCTOR CHIPS ARE MADE CHAPTER 1 HOW SEMICONDUCTOR CHIPS ARE MADE Hwaiyu Geng Hewlett-Packard Company Palo Alto, California Lin Zhou Intel Corporation Hillsboro, Oregon 1.1 INTRODUCTION Over the past decades, an information

More information

Capelle F Villeurbanne, France. Novembre 1918, F Villeurbanne, France. Sweden. Bataillon CC069, F Montpellier, France

Capelle F Villeurbanne, France. Novembre 1918, F Villeurbanne, France. Sweden. Bataillon CC069, F Montpellier, France Applications of Vapor-Liquid-Solid Selective Epitaxy of Highly p-type Doped 4H-SiC: PiN Diodes with Peripheral Protection and Improvement of Specific Contact Resistance of Ohmic Contacts N. Thierry-Jebali

More information

Section 4: Thermal Oxidation. Jaeger Chapter 3

Section 4: Thermal Oxidation. Jaeger Chapter 3 Section 4: Thermal Oxidation Jaeger Chapter 3 Properties of O Thermal O is amorphous. Weight Density =.0 gm/cm 3 Molecular Density =.3E molecules/cm 3 O Crystalline O [Quartz] =.65 gm/cm 3 (1) Excellent

More information

STATUS OF THE MECHANICS. G. C. and M. Savrié on behalf of the CGEM-mechanics group

STATUS OF THE MECHANICS. G. C. and M. Savrié on behalf of the CGEM-mechanics group STATUS OF THE MECHANICS G. C. and M. Savrié on behalf of the CGEM-mechanics group OUTLINE mechanical design overview CGEM construction detector shipping installation tooling design 2 MECHANICAL DESIGN

More information

2015 EE410-LOCOS 0.5µm Poly CMOS Process Run Card Lot ID:

2015 EE410-LOCOS 0.5µm Poly CMOS Process Run Card Lot ID: STEP 0.00 - PHOTOMASK #0- ZERO LEVEL MARKS Starting materials is n-type silicon (5-10 ohm-cm). Add four test wafers labeled T1-T4. T1 and T2 will travel with the device wafers and get all of the processing

More information

LANDOLT-BÖRNSTEIN. Zahlenwerte und Funktionen aus Naturwissenschaften und Technik. Neue Serie. Gesamtherausgabe: K.-H. Hellwege O.

LANDOLT-BÖRNSTEIN. Zahlenwerte und Funktionen aus Naturwissenschaften und Technik. Neue Serie. Gesamtherausgabe: K.-H. Hellwege O. LANDOLT-BÖRNSTEIN Zahlenwerte und Funktionen aus Naturwissenschaften und Technik Neue Serie Gesamtherausgabe: K.-H. Hellwege O. Madelung Gruppe III: Kristall- und Festkörperphysik Band 17 Halbleiter Herausgeber:

More information

SIMS Quantification of Matrix and Impurity Species in Al x Ga 1-x N

SIMS Quantification of Matrix and Impurity Species in Al x Ga 1-x N SIMS Quantification of Matri and Impurity Species in Al Ga 1- N Abstract C. J. Gu a, F. A. Stevie a*, C. J. Hitzman b, Y. N. Saripalli c, M. Johnson c, D. P. Griffis a a Analytical Instrumentation Facility,

More information

Procese de depunere in sistemul Plasma Enhanced Chemical Vapor Deposition (PECVD)

Procese de depunere in sistemul Plasma Enhanced Chemical Vapor Deposition (PECVD) Procese de depunere in sistemul Plasma Enhanced Chemical Vapor Deposition (PECVD) Ciprian Iliescu Conţinutul acestui material nu reprezintă in mod obligatoriu poziţia oficială a Uniunii Europene sau a

More information

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation Micro-Electro-Mechanical Systems (MEMS) Fabrication Fabrication Considerations Stress-Strain, Thin-film Stress, Stiction Special Process Modules for MEMS Bonding, Cavity Sealing, Deep RIE, Spatial forming

More information

R&D ACTIVITIES AT ASSCP-BHEL,GURGAON IN SOLAR PV. DST-EPSRC Workshop on Solar Energy Research

R&D ACTIVITIES AT ASSCP-BHEL,GURGAON IN SOLAR PV. DST-EPSRC Workshop on Solar Energy Research R&D ACTIVITIES AT -BHEL,GURGAON IN SOLAR PV at the DST-EPSRC Workshop on Solar Energy Research (22 nd 23 rd April, 2009) by Dr.R.K. Bhogra, Addl. General Manager & Head Email: cpdrkb@bhel.co.in Dr.A.K.

More information