Research Activities on Defect Improvement of CMP Process in 1x nm Foundry Device

Size: px
Start display at page:

Download "Research Activities on Defect Improvement of CMP Process in 1x nm Foundry Device"

Transcription

1 Research Activities on Defect Improvement of CMP Process in 1x nm Foundry Device 1JI CHUL YANG, 2Hong Jin Kim, 2Venu. Govindarajulu,1Dinesh Koli and 2Jason Mazzotti 1 CMP, Advanced Technology Development (ATD), 2 CMP, Advanced Module Enginnering (AME)

2 Confronting Reality in semiconductor field. Scaling Challenges Device Structure Flow Complexity Critical Insights Needed to Manage Dynamics New Material Introduction Complex Interdependencies 2

3 Number of Step (A.U) CMP is becoming COMPLEX! 16 TSV Cu Cu 14 TSV Cu W-CA/CB Cu SIOC 12 W-CA/CB TI ILD 11 RM GP MOL MOL 10 W-TS MO 9 W-Gate SiN Cap 8 TSV Cu POC W-Gate Cu ILD2 ILD2 6 W-CA/CB ILD1 ILD1 5 MOL W-TS FEOL GP TI ILD Cu Al Gate MO FEOL GP 3 W ILD2 RB MO BEOL 2 Oxide ILD1 STI2 Nit Buff MOL FEOL 1 STI STI STI1 STI FEOL 28nm 20nm 14nm 10nm CMP steps doubled from 28nm to 10nm node in order to enable new integration schemes such as replacement metal gate or self-aligned contact. Higher increased in 10nm CMP steps at MOL due to the complexity of contact module from gate and contact engineering. 3

4 CMP process challenges Selectivity Materials FEOL: SiN, Ox MOL: SiN, Ox, W, TiN, Al BEOL: Cu, Ta, TaN, TiN FEOL: Si, SiN, Ox, a-si MOL: W, SiN, Ox, poly Si, New Materials BEOL: Cu, Ta, TaN, TiN FEOL: Si, SiN, Ox, low k MOL: W, SiN, Ox, poly, New materials BEOL: Cu, Ta, TaN. Lower Resistivity material. Dishing/ Erosion Higher PD < 100 (A.U) Higher PD <83 (A.U) Higher PD < 66 (A.U) Uniformity (100x100um) 3sigma < 100 (A.U) 3sigma < 66 (A.U) 3sigma < 46 (A.U) 20nm 14nm 10nm More new materials are expected in the future nodes in order to meet stringent process requirement in CMP 4

5 Increasing challenge in 3 D s ICPT 2015 keynote Speaking material, Mark Doherty, GF Within-die uniformity Within-wafer uniformity Silicon Wafer Within-macro uniformity Must deliver minimal & stable non-uniformity

6 ICPT 2015 keynote Speaking material, Mark Doherty, GF Increasing challenge defect translation FEOL CMP BEOL CMP Increased # layers = increased defect translation GLOBALFOUNDRIES Confidential 6

7 Unforgettable and endless problem in CMP Micro and Nano Scratches GLOBALFOUNDRIES Confidential 7

8 Improvement activities for Micro Scratches GLOBALFOUNDRIES Confidential 8

9 Nanoparticle Abrasive Conventional Abrasive Micro/nano scratch density (relative) Nanoparticle-Ceria: CMP Performance Venu. at el. CMPUGM AVS Jul. 11, 2016, Austin USA Case I: Poly CMP Case II: Inter-layer Dielectric (ILD) Macro to Macro Variation Nanoparticle-ceria abrasive Slurry Nanoparticleceria abrasive Slurry Nano-sized cerium hydroxide slurry buffing Lot IDs Macro 1 Macro 2 Macro 3 Nano-ceria based slurry showed microscratch reduction in multiple process steps with different integration scheme, however most processes are limited to buffing CMP only so far Planarity and selectivity control is the key challenges (i.e., proper slurry chemistry) with nano-scale abrasive application for CMP slurry (removal rate is tunable with easy and comparable to conventional ceria based slurry) 9

10 Soft Pad Effect on Microscratch Venu. at el. CMPUGM AVS Jul. 11, 2016, Austin USA Microscratch Trend Post CMP Pad Thickness: Planarity Soft pad Soft pad Removal Rate: ~10% drop Soft pad Microscratch reduction can be achieved by soft pad implement, however, planarity and removal rate degraded either (this is reported many times in different conferences, publications, and business reports). For the soft pad application, proper pad conditioning is necessary to maintain polishing performances. 10

11 Venu. at el. CMPUGM AVS Jul. 11, 2016, Austin USA Process Scheme for Microscratch Reduction CMP: Scratch generating process and scratch removal process as well! Non-selective buffing CMP help to scratch reduction selectivity and uniformity control is challenge for this application 11

12 JI Chul Yang, 60 th KCMPUGM, Suwon, South Korea, 2015 Conditioner Design Change to improve scratches Advantages of CVD Tip Formation Guaranteed Quality No Design Limitation : tip to tip distance, Tip height distribution, etc. Can control pad surface roughness and polishing Debris Tip Height Control A C B C A 3D Patterning H1 H2 H1(H A -H B ), H2(H B -H C ) controlling GLOBALFOUNDRIES Confidential 12 Working with SHINHAN Diamond & 3M GLOBALFOUNDRIES Confidential 12

13 Strategies for Scratch Mitigation Soft Pad w/ Proper Conditioning Ultrafine Abrasive Particle Recipe Optimize (Low Down Force, Slurry Flow) Cleaner Brush Treatment CMP Friendly Process Scratch SOLELY can be minimized? 13

14 In-Wafer Uniformity (iapc) GLOBALFOUNDRIES Confidential 14

15 Challenges of CMP Process Incoming height variation: variation in multiple upstream processes add up Removal rate drop as pad life (removal rate stability) CMP loading effect on removal rate - Polishing rate is not constant - Sinusoidal removal behavior observed - Early stage of polishing (< 10s) is not predictable

16 iapc: Integrated Advanced Process Control /types-of-metrology-equipments/ Implement of on-board metrology CMP In-situ Metrology

17 Hong Jin Kim, at el. TechConnect June Washington, DC, USA iapc Algorithm and Process Sequence Rework time adjusted Main CMP On board Metro On target Rework CMP Out of target RW removal rate set RW time cal. RW time adjusted Post thickness - target RW removal rate reset Feedback to the next rework Polishing time set by self-learning process: Empirical

18 Gate Height Control with iapc Hong Jin Kim, at el. TechConnect June Washington, DC, USA Without iapc With iapc Incoming process variability CMP needs to accommodate and compensate it and tight gate height control in-situ (or real time) process control improve wafer to wafer variation

19 Contact W CMP with iapc Hong Jin Kim, at el. TechConnect June Washington, DC, USA >50% Reduction in raw level delta to target (contact height) Contact CMP Contact height

20 Cu CMP with IAPC [Invited Talk] Ji Chul Yang, 60 th anniversary Korean CMP User Group Meeting, Defect Reduction of CMP process in Logic Device Suwon, Korea, Nov Example of Rs control by iapc Cu CMP With integrated APC With integrated APC Without integrated APC WTW Rs control demonstrated and in use Need further WID/WIW/WTW enhancement Endpoint improvements (On-platen / In-situ?) GLOBALFOUNDRIES Confidential 20

21 Ji Chul Yang, at el. ICPT2015, Sep. 30 Oct. 2, Arizona, USA In-situ Cu height control with Barrier EPD and Dielectric removal amount control In-situ monitoring flow 1. Cu CMP 2. Barrier CMP Start 2-1. Barrier Clear 2-2. Dielectric Removal Amount Control 1. Cu CMP - > Cu clear + O.P. 2. Dielectric removal amount control with in-situ optical sensor

22 Cleaner Defect GLOBALFOUNDRIES Confidential 22

23 Cu CMP Defect lots of cleaner defect type Ring Scratches Brush Particle Organic Residue Cu Flake GLOBALFOUNDRIES Confidential 23

24 Recipe Test - Recipe is major driven solution for CMP defect GLOBALFOUNDRIES Confidential 24

25 Brush Surface Modification No Treatment With Treatment Working with Rippey GLOBALFOUNDRIES Confidential 25

26 Changing profile by different Process condition Cu Protrusion GLOBALFOUNDRIES Confidential 26

27 Removal Efficiency Tool Configuration for effective cleaning [Invited Talk] Ji Chul Yang, 60 th anniversary Korean CMP User Group Meeting, Defect Reduction of CMP process in Logic Device Suwon, Korea, Nov Target 100 % Performance Two Fluld Jet Typical Brush Mag Tank & IPA Dryer Pencil Brush Particle Size GLOBALFOUNDRIES Confidential 27

28 In Conclusion, Fundamental Studies Structures & Materials Defect-Preventive Process Design Selectable Selectivity Advanced Diagnostics (FDC, SPC sensor) Manufacturing-Friendly Equipment Design Defect Management The Next Silver Bullet 28

CMP challenges in sub-14nm FinFET and RMG technologies

CMP challenges in sub-14nm FinFET and RMG technologies CMP challenges in sub-14nm FinFET and RMG technologies Tae Hoon Lee*, Hong Jin Kim, Venugopal Govindarajulu, Gerett Yocum & Jason Mazzotti Advanced Module Engineering NCCAVS CMPUG Spring Meeting 2016 Contents

More information

Process Optimization in Post W CMP In-situ Cleaning. Hong Jin Kim, Si-Gyung Ahn, Liqiao Qin CMP, Advanced Module Engineering GLOBALFOUNDRIES, USA

Process Optimization in Post W CMP In-situ Cleaning. Hong Jin Kim, Si-Gyung Ahn, Liqiao Qin CMP, Advanced Module Engineering GLOBALFOUNDRIES, USA Process Optimization in Post W CMP In-situ Cleaning Hong Jin Kim, Si-Gyung Ahn, Liqiao Qin CMP, Advanced Module Engineering GLOBALFOUNDRIES, USA Contents W CMP process for sub 14nm device W Gate CMP W

More information

Post Cleaning Chemical of Tungsten Chemical Mechanical Planarization for Memory Devices. Jun Yong Kim Cleaning/CMP Technology

Post Cleaning Chemical of Tungsten Chemical Mechanical Planarization for Memory Devices. Jun Yong Kim Cleaning/CMP Technology Post Cleaning Chemical of Tungsten Chemical Mechanical Planarization for Memory Devices Jun Yong Kim Cleaning/CMP Technology 1. CMP Process and Cleaning challenges 2. Problem Statement 3. Results of Cleaning

More information

The History & Future of

The History & Future of The History & Future of CMP CMPUG July 2008 Karey Holland, Ph.D. kholland@nexplanar.com Ken Cadien, Ph.D. University of Alberta kcadien@ualberta.ca http://www.nexplanar.com http://www.ualberta.ca/ Outline

More information

Post CMP Cleaning SPCC2017 March 27, 2017 Jin-Goo Park

Post CMP Cleaning SPCC2017 March 27, 2017 Jin-Goo Park Post CMP Cleaning Conference @ SPCC2017 March 27, 2017 Jin-Goo Park Challenges in surface preparation Research trend in cleaning technology Lesson learned from current cleaning technology Challenges in

More information

CMP Solutions for 10nm and Beyond: Breaking trade-offs in the Planarization / Defect Balance

CMP Solutions for 10nm and Beyond: Breaking trade-offs in the Planarization / Defect Balance SMC Korea May 18, 2016 CMP Solutions for 10nm and Beyond: Breaking trade-offs in the Planarization / Defect Balance Marty W. DeGroot Global R&D Director, CMP Technologies, The Dow Chemical Company Key

More information

CMP Defects and Evolution of PCMP Cleans

CMP Defects and Evolution of PCMP Cleans CMP Defects and Evolution of PCMP Cleans March 27, 2017 Iqbal Ali iali@linx-consulting.com (408)839-9924 SPCC & PCMP Conference, Austin, TX Agenda 1. Introduction to Linx Consulting 2. Where Have We Been

More information

Evaluation of Copper CMP Process Characterization Wafers

Evaluation of Copper CMP Process Characterization Wafers SKW Associates, Inc. Evaluation of Copper CMP Process Characterization Wafers SKW6-3 & SKW6-5 SooKap Hahn Jan 15, 2005 Polish Proposal 1 Planned Polishing: Week of Dec 20 th Customer: SKW Associates Inc.

More information

CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node

CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node UMC/ ATD_AM / CMP Department T. C. Tsai, W. C. Tsao, Welch Lin, C. L. Hsu, C. L. Lin, C. M. Hsu, J. F. Lin, C. C.

More information

CMP Scratches; Their Detection and Analysis on Root Causes

CMP Scratches; Their Detection and Analysis on Root Causes 6 th LEVITRONIX CMP and Ultrapure Conference The Westin Park Central, Dallas, Texas May 11-12, 2011 CMP Scratches; Their Detection and Analysis on Root Causes Jin-Goo Park May 11, 2011 Department of Materials

More information

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley Nonplanar Metallization Planar Metallization Passivation Metal 5 (copper) Metal 3 (copper) Interlevel dielectric (ILD) Via (tungsten) Metal 1 (copper) Tungsten Plug to Si Silicon Caps and Plugs oxide oxide

More information

CMP COST ISSUES & IMPACT ON CONSUMABLES FOR MEMORY AND LOGIC

CMP COST ISSUES & IMPACT ON CONSUMABLES FOR MEMORY AND LOGIC CMP COST ISSUES & IMPACT ON CONSUMABLES FOR MEMORY AND LOGIC CMPUG @CNSE April 16, 2016 Mike Corbett Managing Partner mcorbett@linx-consulting.com Agenda INTRODUCTION TO LINX CONSULTING SEMI INDUSRTY OUTLOOK

More information

Enabling Low Defectivity Solutions Through Co- Development of CMP Slurries and Cleaning Solutions for Cobalt Interconnect Applications

Enabling Low Defectivity Solutions Through Co- Development of CMP Slurries and Cleaning Solutions for Cobalt Interconnect Applications Enabling Low Defectivity Solutions Through Co- Development of CMP Slurries and Cleaning Solutions for Cobalt Interconnect Applications Dnyanesh Tamboli 1, Tom Shi 1, Chris Li 2, Ming-Shih Tsai 2, Rung-Je

More information

Chemical Mechanical Planarization

Chemical Mechanical Planarization 1 Chemical Mechanical Planarization SFR Workshop & Review November 14, 2002 David Dornfeld, Fiona Doyle, Costas Spanos, Jan Talbot Berkeley, CA 2 Focus of this presentation CMP research milestones in SFR

More information

2009 Market Slurries and Particles in CMP & a Bit Beyond

2009 Market Slurries and Particles in CMP & a Bit Beyond 2009 Market Slurries and Particles in CMP & a Bit Beyond NCCAVS CMPUG Semicon W Meeting Karey Holland, Ph.D. July 14, 2009 Techcet Group, LLC. KHolland@Techcet.com www.techcet.com Slurries & Particles

More information

Reduced Cost of Ownership Oxide CMP Process using 300mm Consumables for 200mm processing

Reduced Cost of Ownership Oxide CMP Process using 300mm Consumables for 200mm processing Reduced Cost of Ownership Oxide CMP Process using 300mm Consumables for 200mm processing Christopher Eric Brannon, Jimmy Carter (TI DMOS5 CMP Manufacturing Engineering) Texas Instruments, Semiconductor

More information

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA Overview of CMP for TSV Applications Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA Outline TSV s and the Role of CMP TSV Pattern and Fill TSV Reveal (non-selective)

More information

Abstract. Introduction CMP FILTER CHARACTERIZATION WITH LEADING SLURRY PARTICLES. Authors: Yi-Wei Lu, Bob Shie, Steven Hsiao, H.J.

Abstract. Introduction CMP FILTER CHARACTERIZATION WITH LEADING SLURRY PARTICLES. Authors: Yi-Wei Lu, Bob Shie, Steven Hsiao, H.J. APPLICATION NOTE CMP FILTER CHARACTERIZATION WITH LEADING SLURRY PARTICLES Authors: Yi-Wei Lu, Bob Shie, Steven Hsiao, H.J. Yang, Sherly Lee Abstract Chemical mechanical planarization (CMP) slurries contain

More information

Cu CMP: Macro-scale Manufacturing for Nano-scale Quality Requirements

Cu CMP: Macro-scale Manufacturing for Nano-scale Quality Requirements Cu CMP: Macro-scale Manufacturing for Nano-scale Quality Requirements Jung-Hoon Chun Laboratory for Manufacturing and Productivity Massachusetts Institute of Technology Cambridge, MA 02139 April 23, 2009

More information

Evolution and Revolution of Cerium Oxide Slurries in CMP

Evolution and Revolution of Cerium Oxide Slurries in CMP Evolution and Revolution of Cerium Oxide Slurries in CMP David Merricks, Brian Santora, Bob Her, Craig Zedwick Ferro Electronic Material Systems 1789 Transelco Drive Penn Yan, NY 14527, USA merricksd@ferro.com

More information

4th Annual SFR Workshop, Nov. 14, 2001

4th Annual SFR Workshop, Nov. 14, 2001 4th Annual SFR Workshop, Nov. 14, 2001 8:30 9:00 Research and Educational Objectives / Spanos 9:00 9:45 CMP / Doyle, Dornfeld, Talbot, Spanos 9:45 10:30 Plasma & Diffusion / Graves, Lieberman, Cheung,

More information

Chemical/Mechanical Balance Management through Pad Microstructure in Si CMP

Chemical/Mechanical Balance Management through Pad Microstructure in Si CMP Chemical/Mechanical Balance Management through Pad Microstructure in Si CMP Post CMP Cleaning Austin 2017 Ratanak Yim (Viorel Balan) R. Yim 1,2,5, C. Perrot 2, V. Balan 1, P-Y. Friot 3, B. Qian 3, N. Chiou

More information

Integration Issues with Cu CMP

Integration Issues with Cu CMP Integration Issues with Cu CMP Copper CMP Integrated Solutions Michael R. Oliver Rodel, Inc. December 3, 2003 Outline Dual Damascene Approach Requirements Impact of Cu Deposition Topography Issues Sensitivity

More information

SKW Wafer Product List

SKW Wafer Product List SKW Wafer Product List Regularly updated (2.13.2018) SKW Associates, INC. 2920 Scott Blvd, Santa Clara, CA 95054 Tel: 408-919-0094, Fax: 408-919-0097 I. Available Wafers in 200mm and 300mm - Please refer

More information

A Novel Retaining Ring in Advanced Polishing Head Design for Significantly Improved CMP Performance

A Novel Retaining Ring in Advanced Polishing Head Design for Significantly Improved CMP Performance A Novel Retaining Ring in Advanced Polishing Head Design for Significantly Improved CMP Performance Thomas H. Osterheld, Steve Zuniga, Sidney Huey, Peter McKeever, Chad Garretson, Ben Bonner, Doyle Bennett,

More information

IMPACT Seminar. Title: Past, present, and future of CMP Faculty: David Dornfeld Department: Mechanical Engineering University: Berkeley IMPACT

IMPACT Seminar. Title: Past, present, and future of CMP Faculty: David Dornfeld Department: Mechanical Engineering University: Berkeley IMPACT 1 Seminar Title: Past, present, and future of Faculty: David Dornfeld Department: Mechanical Engineering University: Berkeley 2 Overview Outline History and Future Development (courtesy of Ken Cadien,

More information

Copper Interconnect Technology

Copper Interconnect Technology Tapan Gupta Copper Interconnect Technology i Springer Contents 1 Introduction 1 1.1 Trends and Challenges 2 1.2 Physical Limits and Search for New Materials 5 1.3 Challenges 6 1.4 Choice of Materials 7

More information

OXIDE STOP-IN-FILM CMP: NEW CHALLENGES FOR THE NEXT GENERATION MEMORY DEVICES. Andrey Zagrebelny, Sony Varghese, Andrew Carswell

OXIDE STOP-IN-FILM CMP: NEW CHALLENGES FOR THE NEXT GENERATION MEMORY DEVICES. Andrey Zagrebelny, Sony Varghese, Andrew Carswell OXIDE STOP-IN-FILM CMP: NEW CHALLENGES FOR THE NEXT GENERATION MEMORY DEVICES Andrey Zagrebelny, Sony Varghese, Andrew Carswell 1 INTRODUCTION ITRS roadmap: Moore s Law vs. 3D/vertical architectures New

More information

Post-CMP Cleaning: Interaction between Particles and Surfaces

Post-CMP Cleaning: Interaction between Particles and Surfaces Post-CMP Cleaning: Interaction between Particles and Surfaces J.-G. Park and T.-G. Kim Department of Materials Engineering, Hanyang University, Ansan, 426-791, South Korea E-mail: jgpark@hanyang.ac.kr

More information

MEMS Devices. Fraunhofer Institute for Silicon Technology ISIT. Itzehoe, Germa. any

MEMS Devices. Fraunhofer Institute for Silicon Technology ISIT. Itzehoe, Germa. any Examples of CMP Processess for the Manufacturing of MEMS Devices Gerfried Zwicke er Fraunhofer Institute for Silicon Technology ISIT Itzehoe, Germa any gerfried.zwicker@isit.fraunhofer.de Contents MEMS

More information

CMP Solutions for the Integration of High-K Metal Gate Technologies

CMP Solutions for the Integration of High-K Metal Gate Technologies CMP Solutions for the Integration of High-K Metal Gate Technologies J. M.. Dysard, V. Brusic, P. Feeney, S. Grumbine, K. Moeggenborg, G. Whitener, W. J. Ward, G. Burns, and K. Choi Cabot Microelectronics

More information

NCAVS CMPUG Meeting July 16, 2009

NCAVS CMPUG Meeting July 16, 2009 CMP OPTIMIZATION AND CONTROL THROUGH REAL-TIME ANALYSIS OF PROCESS EFFLUENTS S.J. Benner and D.W Peters Allentown, PA 18106 610-395-7840 dwpeters@confluense.com www.confluense.com Outline Introduction

More information

Linx Consulting Inc. CMP TECHNOLOGIES and MARKETS to the 5 nm NODE. See Beyond the Horizon. Eighth Edition

Linx Consulting Inc. CMP TECHNOLOGIES and MARKETS to the 5 nm NODE. See Beyond the Horizon. Eighth Edition See Beyond the Horizon Linx Consulting Inc. Pu b l i s h e d 3 Q 2 0 1 8 CMP TECHNOLOGIES and MARKETS to the 5 nm NODE Eighth Edition Uncertainty around true materials requirements is a huge risk for leading

More information

Notable Trends in CMP: Past, Present and Future

Notable Trends in CMP: Past, Present and Future Notable Trends in CMP: Past, Present and Future Semiconductor International February 15 th, 2007 Pete Singer Editor-in-Chief Levitronix CMP Users Conference 2007 April 1988: Etchback, SOG November 1990:

More information

A Time Dependency CMP Model for Dishing and Erosion in Copper Damascene and STI Process

A Time Dependency CMP Model for Dishing and Erosion in Copper Damascene and STI Process 1 A Time Dependency CMP Model for Dishing and Erosion in Copper Damascene and STI Process SFR Workshop & Review April 17, 2002 Jianfeng Luo, Runzi (Tiger) Chang and Professor David A. Dornfeld Berkeley,

More information

Abstract. Next-Generation CMP Pad Conditioning. Introduction DEVELOPMENT AND PERFORMANCE DATA OF A NEW CVD DIAMOND CMP PAD CONDITIONER

Abstract. Next-Generation CMP Pad Conditioning. Introduction DEVELOPMENT AND PERFORMANCE DATA OF A NEW CVD DIAMOND CMP PAD CONDITIONER APPLICATION NOTE DEVELOPMENT AND PERFORMANCE DATA OF A NEW CVD DIAMOND CMP PAD CONDITIONER Authors: Rakesh K. Singh, Andrew Galpin, Christopher Vroman Abstract Characteristics of a revolutionary new design

More information

ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization. Die Image

ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization. Die Image ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization Prof. Rensselaer Polytechnic Institute Troy, NY 12180 Office: CII-6229 Tel.: (518) 276-2909 e-mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s18/ecse

More information

Hardware and Process Solutions to Evolving CMP Needs. - or - CMP Challenges How Can We Polish THAT?

Hardware and Process Solutions to Evolving CMP Needs. - or - CMP Challenges How Can We Polish THAT? Hardware and Process Solutions to Evolving CMP Needs - or - CMP Challenges How Can We Polish THAT? Robert L. Rhoades (Entrepix) and Paul M. Feeney (Axus Technology) Presented at TechXPOT North - Semicon

More information

Feature-level Compensation & Control. CMP September 15, 2005 A UC Discovery Project

Feature-level Compensation & Control. CMP September 15, 2005 A UC Discovery Project Feature-level Compensation & Control CMP September 15, 2005 A UC Discovery Project Chemical Mechanical Planarization - Faculty Team Mechanical Phenomena David A. Dornfeld Mechanical Engineering UCB Fiona

More information

Extending Etch and Deposition Capabilities for Implementation of 3D Packaging of MEMS in Volume Production

Extending Etch and Deposition Capabilities for Implementation of 3D Packaging of MEMS in Volume Production Extending Etch and Deposition Capabilities for Implementation of 3D Packaging of MEMS in Volume Production David Butler, VP Product Management & Marketing SPTS Technologies Contents Industry Trends TSV

More information

Chapter 4 : ULSI Process Integration (0.18 m CMOS Process)

Chapter 4 : ULSI Process Integration (0.18 m CMOS Process) Chapter : ULSI Process Integration (0.8 m CMOS Process) Reference. Semiconductor Manufacturing Technology : Michael Quirk and Julian Serda (00). - (00). Semiconductor Physics and Devices- Basic Principles(/e)

More information

CMP Users Meeting. Impact of CMP buffing chemistry on defects level for interconnections on CMOS processing. April 12 th 2013 D.

CMP Users Meeting. Impact of CMP buffing chemistry on defects level for interconnections on CMOS processing. April 12 th 2013 D. Impact of CMP buffing chemistry on defects level for interconnections on CMOS processing CMP Users Meeting April 12 th 2013 D.JEANJEAN Damien JEANJEAN Olivier ROBIN Sebastien GAILLARD David GALPIN Grégoire

More information

The ABC s of CMP for DWB and SOI. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010

The ABC s of CMP for DWB and SOI. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010 The ABC s of CMP for DWB and SOI Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010 Outline Introduction Direct Wafer Bonding (DWB) Background CMP for DWB Silicon-On-Insulator (SOI) Background

More information

Using Mass Metrology for Process Monitoring and Control During 3D Stacking of IC s

Using Mass Metrology for Process Monitoring and Control During 3D Stacking of IC s Metryx Copyright 1 Using Mass Metrology for Process Monitoring and Control During 3D Stacking of IC s SEMATECH 3D Interconnect Workshop 11 th July 2012 Metryx Copyright 2 Mass Metrology Less Mass More

More information

200mm Next Generation MEMS Technology update. Florent Ducrot

200mm Next Generation MEMS Technology update. Florent Ducrot 200mm Next Generation MEMS Technology update Florent Ducrot The Most Exciting Industries on Earth Semiconductor Display Solar 20,000,000x reduction in COST PER TRANSISTOR in 30 years 1 20x reduction in

More information

SLURRY FORMULATION OPTIONS

SLURRY FORMULATION OPTIONS SLURRY FORMULATION OPTIONS CHALLENGES FOR DEFECT REDUCTION IN CU,Ta/TaN AND Ru PLANARIZATION S. V. Babu Center for Advanced Materials Processing, 1 Clarkson University (www.clarkson.edu/camp) Acknowledgments

More information

New Applications of Chemical Mechanical Planarization

New Applications of Chemical Mechanical Planarization New Applications of Chemical Mechanical Planarization Robert L. Rhoades, Ph.D. Semiconductor Equipment Spare Parts and Service CMP Foundry AVS Joint Meeting San Jose, CA Feb 19, 2015 Welcome to Entrepix

More information

AVS CMP Users Group Meeting

AVS CMP Users Group Meeting AVS CMP Users Group Meeting High Selectivity Ceria Slurry for Next Generation STI CMP Processes Nate D. Urban 4/07/2016 Outline Introduction to Ferro Shallow trench isolation (STI) Silicon nitride passivation

More information

SEMI Networking Day 2013 Rudolph Corporate Introduction

SEMI Networking Day 2013 Rudolph Corporate Introduction SEMI Networking Day 2013 Rudolph Corporate Introduction Rudolph Technologies: Corporate Profile Business: Semiconductor capital equipment company dedicated exclusively to inspection, advanced packaging

More information

CMP Process Development Techniques for New Materials. Robert L. Rhoades, Ph.D. ECS 213 th Meeting (Phoenix, AZ) May 19-21, 2008

CMP Process Development Techniques for New Materials. Robert L. Rhoades, Ph.D. ECS 213 th Meeting (Phoenix, AZ) May 19-21, 2008 CMP Process Development Techniques for New Materials Robert L. Rhoades, Ph.D. ECS 213 th Meeting (Phoenix, AZ) May 19-21, 2008 Outline Background and Industry Drivers Generalized Development Sequence CMP

More information

POST-CMP CLEANING OF HYDROPHILIC AND HYDROPHOBIC FILMS USING AQUEOUS ASSISTED CO 2 CRYOGENIC CLEANING

POST-CMP CLEANING OF HYDROPHILIC AND HYDROPHOBIC FILMS USING AQUEOUS ASSISTED CO 2 CRYOGENIC CLEANING POST-CMP CLEANING OF HYDROPHILIC AND HYDROPHOBIC FILMS USING AQUEOUS ASSISTED CO 2 CRYOGENIC CLEANING Souvik Banerjee, Andrea Via and Harlan F. Chung EcoSnow Systems Inc. Livermore, CA Robert Small and

More information

Test Patterns for Chemical Mechanical Polish Characterization

Test Patterns for Chemical Mechanical Polish Characterization Dobek S: CMP Characterization 15th Annual Microelectronic Engineering Conference, 1997 Test Patterns for Chemical Mechanical Polish Characterization Stanley 3. Dobek Senior Microelectronic Engineering

More information

Czochralski Crystal Growth

Czochralski Crystal Growth Czochralski Crystal Growth Crystal Pulling Crystal Ingots Shaping and Polishing 300 mm wafer 1 2 Advantage of larger diameter wafers Wafer area larger Chip area larger 3 4 Large-Diameter Wafer Handling

More information

CMP for Thru-Silicon Vias TSV Overview & Examples March 2009

CMP for Thru-Silicon Vias TSV Overview & Examples March 2009 CMP for Thru-Silicon Vias TSV Overview & Examples March 2009 Packaging Evolution Source: Yole Dev 2007 2 3D Integration Source: Yole Dev 2007 Growth rates for 3D integration Flash continues to drive the

More information

Manufacturer Part Number. Module 2: CMOS FEOL Analysis

Manufacturer Part Number. Module 2: CMOS FEOL Analysis Manufacturer Part Number description Module 2: CMOS FEOL Analysis Manufacturer Device # 2 Some of the information is this report may be covered by patents, mask and/or copyright protection. This report

More information

The Role of Wafer Foundries in Next Generation Packaging. David McCann, VP Packaging R+D May 28, 2013

The Role of Wafer Foundries in Next Generation Packaging. David McCann, VP Packaging R+D May 28, 2013 The Role of Wafer Foundries in Next Generation Packaging David McCann, VP Packaging R+D May 28, 2013 Page 1 Solutions are Increasingly Silicon-Based RF moves from QFN to wlcsp Driven by footprint and cost

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

Fixed Abrasives and Selective Chemistries: Some Real Advantages for Direct STI CMP

Fixed Abrasives and Selective Chemistries: Some Real Advantages for Direct STI CMP Fixed Abrasives and Selective Chemistries: Some Real Advantages for Direct STI CMP John Gagliardi, Richard Webb, Chris Rueb - 3M Corporation Greg Menk, Pete McReynolds, Gopal Prabhu, Tom Osterheld - Applied

More information

Mark T. Bohr Intel Senior Fellow, Technology and Manufacturing Group Director, Process Architecture and Integration INTEL CORPORATION

Mark T. Bohr Intel Senior Fellow, Technology and Manufacturing Group Director, Process Architecture and Integration INTEL CORPORATION Mark T. Bohr Intel Senior Fellow, Technology and Manufacturing Group Director, Process Architecture and Integration INTEL CORPORATION Patents» 6762464, N-P butting connections on SOI substrates, 7/13/2004.»

More information

CMP s Transition to 450mm Manufacturing: Engineering Consumables to Meet Process and Efficiency Targets

CMP s Transition to 450mm Manufacturing: Engineering Consumables to Meet Process and Efficiency Targets CMP s Transition to 450mm Manufacturing: Engineering Consumables to Meet Process and Efficiency Targets Christopher Borst College of Nanoscale Science and Engineering Albany, NY 450mm Wafer / Technology

More information

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development TSV Processing and Wafer Stacking Kathy Cook and Maggie Zoberbier, 3D Business Development Outline Why 3D Integration? TSV Process Variations Lithography Process Results Stacking Technology Wafer Bonding

More information

ADVANCES IN ETCH AND DEPOSITION TECHNOLOGIES FOR 2.5 AND 3D BEOL PROCESSING

ADVANCES IN ETCH AND DEPOSITION TECHNOLOGIES FOR 2.5 AND 3D BEOL PROCESSING ADVANCES IN ETCH AND DEPOSITION TECHNOLOGIES FOR 2.5 AND 3D BEOL PROCESSING Keith Buchanan, Dave Thomas, Hefin Griffiths, Kathrine Crook, Daniel Archard, Mark Carruthers, Steve Burgess, Stephen Vargo SPTS

More information

450mm Metrology and Inspection: The Current State and the Road Ahead. Rand Cottle (CNSE), Nithin Yathapu (GF), Katherine Sieg (Intel)

450mm Metrology and Inspection: The Current State and the Road Ahead. Rand Cottle (CNSE), Nithin Yathapu (GF), Katherine Sieg (Intel) 450mm Metrology and Inspection: The Current State and the Road Ahead Rand Cottle (CNSE), Nithin Yathapu (GF), Katherine Sieg (Intel) Outline Program Update Demonstration Testing Method (DTM) Equipment

More information

Keeping Your CMP Slurry From Being A Pain in the As-Probed Die Yield. Robert L. Rhoades (Entrepix) Brian Orzechowski and Jeff Wilmer (DivInd, LLC)

Keeping Your CMP Slurry From Being A Pain in the As-Probed Die Yield. Robert L. Rhoades (Entrepix) Brian Orzechowski and Jeff Wilmer (DivInd, LLC) Keeping Your CMP Slurry From Being A Pain in the As-Probed Die Yield Robert L. Rhoades (Entrepix) Brian Orzechowski and Jeff Wilmer (DivInd, LLC) Presentation for the Levitronix Conference February 1,

More information

POLI- 500, POLI-762 Quick Overview

POLI- 500, POLI-762 Quick Overview POLI- 500, POLI-762 Quick Overview G&P Technology Overview of POLI-500 for 4 ~ 8 Application 4-8 Wafer CMP Equipment - Head, Table : 30 ~ 200 rpm, Rotational motion - Head oscillation : ± 20mm - Size :

More information

Understanding and Reducing Copper Defects

Understanding and Reducing Copper Defects Understanding and Reducing Copper Defects Most high-performance logic manufacturers are by now developing, piloting or producing copper-based circuits. There are a number of companies that introduced copper

More information

Activities in Plasma Process Technology at SENTECH Instruments GmbH, Berlin. Dr. Frank Schmidt

Activities in Plasma Process Technology at SENTECH Instruments GmbH, Berlin. Dr. Frank Schmidt Activities in Plasma Process Technology at SENTECH Instruments GmbH, Berlin Dr. Frank Schmidt The Company Company Private company, founded 1990 80 employees ISO 9001 Location Science & Technology Park,

More information

CMPUG Molecular Design of CMP Consumables for Advanced ( 10nm) Processing Technology. July 11, Michael Mills, PhD Fellow

CMPUG Molecular Design of CMP Consumables for Advanced ( 10nm) Processing Technology. July 11, Michael Mills, PhD Fellow July 11, 2018 Molecular Design of CMP Consumables for Advanced ( 10nm) Processing Technology Michael Mills, PhD Fellow. Molecular Design of CMP Consumables for Advanced ( 10nm) Processing Technology 1)

More information

CMP Applications and Issues Part 3

CMP Applications and Issues Part 3 CMP Applications and Issues Part 3 By Christopher Henderson This is the third and final part in a series on Chemical Mechanical Polishing (CMP) applications and issues. An important aspect of CMP is end

More information

Metallizing High Aspect Ratio TSVs For MEMS Challenges and Capabilities. Vincent Mevellec, PhD

Metallizing High Aspect Ratio TSVs For MEMS Challenges and Capabilities. Vincent Mevellec, PhD Metallizing High Aspect Ratio TSVs For MEMS Challenges and Capabilities Vincent Mevellec, PhD Agenda Introduction MEMS and sensors market TSV integration schemes Process flows for TSV Metallization aveni

More information

Rockwell R RF to IF Down Converter

Rockwell R RF to IF Down Converter Construction Analysis Rockwell R6732-13 RF to IF Down Converter Report Number: SCA 9709-552 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780

More information

CMPUG June 5, Lily Yao, Bob Small,Ph.D, KZ Kadowaki, Srini Raghavan, University of Arizona

CMPUG June 5, Lily Yao, Bob Small,Ph.D, KZ Kadowaki, Srini Raghavan, University of Arizona CMPUG 2002 Nitrogen-Based Slurry Development for Copper/Low-k (SiLK TM ) Integration June 5, 2002 Lily Yao, Bob Small,Ph.D, KZ Kadowaki, Srini Raghavan, University of Arizona EKC == CMP It doesn t get

More information

A Production-Proven Shallow Trench Isolation (STI) Solution Using Novel CMP Concepts*

A Production-Proven Shallow Trench Isolation (STI) Solution Using Novel CMP Concepts* A Production-Proven Shallow Trench Isolation (STI) Solution Using Novel CMP Concepts* Raymond R. Jin, Jeffrey David, Bob Abbassi, Tom Osterheld, Fritz Redeker Applied Materials, 3111 Coronado Drive, M/S

More information

Susceptibility of Different Slurry Types to Agglomeration

Susceptibility of Different Slurry Types to Agglomeration Susceptibility of Different Slurry Types to Agglomeration Mark Litchy 1, Don Grant 1, and Reto Schoeb 2 1 CT Associates, Inc. 2 Levitronix GmbH Levitronix CMP Users Conference 29 February 1, 29 Slide 1

More information

Advanced STI CMP Solutions for New Device Technologies

Advanced STI CMP Solutions for New Device Technologies Advanced STI CMP Solutions for New Device Technologies Jeffrey David, Benjamin A. Bonner, Thomas H. Osterheld, Raymond R. Jin Applied Materials, 3111 Coronado Drive, M/S 1510, Santa Clara, CA 95054 (408)986-3277

More information

Cleaning Trends for Advanced Nodes. April 9, 2018 Scotten W. Jones President IC Knowledge LLC

Cleaning Trends for Advanced Nodes. April 9, 2018 Scotten W. Jones President IC Knowledge LLC Cleaning Trends for Advanced Nodes April 9, 2018 Scotten W. Jones President IC Knowledge LLC sjones@icknowledge.com Outline DRAM Logic NAND Conclusion 2 DRAM Nodes 2011 2012 2013 2014 2015 2016 2017 2018

More information

Advanced Metrology for Copper/Low-k Interconnects

Advanced Metrology for Copper/Low-k Interconnects Advanced Metrology for Copper/Low-k Interconnects Executive Summary The semiconductor industry s continued push to reduce feature size and increase circuit speed has resulted in a global race to reinvent

More information

Pad Conditioners for FEOL Tungsten Plug and Metal Gate Applications. 3M TM Trizact TM. March 19, 2014

Pad Conditioners for FEOL Tungsten Plug and Metal Gate Applications. 3M TM Trizact TM. March 19, 2014 3M TM Trizact TM Pad Conditioners for FEOL Tungsten Plug and Metal Gate Applications March 19, 214 J. Zabasajja, V. Laraia, M. Fritz, J. Sokol and Junqing Xie 3M Electronics Markets Material Division,

More information

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

Semiconductor Manufacturing Technology. IC Fabrication Process Overview Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 00 by Prentice Hall Chapter 9 IC Fabrication Process Overview /4 Objectives After studying the material in this chapter, you

More information

Fabrication and application of high quality diamond coated. CMP pad conditioners

Fabrication and application of high quality diamond coated. CMP pad conditioners Fabrication and application of high quality diamond coated CMP pad conditioners Hua Wang 1,a, Fanghong Sun 1,b* 1 School of Mechanical Engineering, Shanghai Jiao Tong University, Shanghai, 200240, China

More information

Linx Consulting, Inc.

Linx Consulting, Inc. STRATEGIC COST MODEL A Collaborative Development between Linx Consulting and IC Knowledge Background Based on real world product process flows and device roadmaps, with modifications for the latest process

More information

1.1 Background Cu Dual Damascene Process and Cu-CMP

1.1 Background Cu Dual Damascene Process and Cu-CMP Chapter I Introduction 1.1 Background 1.1.1 Cu Dual Damascene Process and Cu-CMP In semiconductor manufacturing, we always directed toward adding device speed and circuit function. Traditionally, we focused

More information

EV Group 300mm Wafer Bonding Technology July 16, 2008

EV Group 300mm Wafer Bonding Technology July 16, 2008 EV Group 300mm Wafer Bonding Technology July 16, 2008 EV Group in a Nutshell st Our philosophy Our mission in serving next generation application in semiconductor technology Equipment supplier for the

More information

THE IMPACT OF 3D DEVICES ON THE FUTURE OF PROCESS MATERIALS TRENDS & OPPORTUNITIES

THE IMPACT OF 3D DEVICES ON THE FUTURE OF PROCESS MATERIALS TRENDS & OPPORTUNITIES THE IMPACT OF 3D DEVICES ON THE FUTURE OF PROCESS MATERIALS TRENDS & OPPORTUNITIES L. Shon Roy K. Holland, PhD. October 2014 Materials Examples Process materials used to make semiconductor devices Gases

More information

Hybrid BARC approaches for FEOL and BEOL integration

Hybrid BARC approaches for FEOL and BEOL integration Hybrid BARC approaches for FEOL and BEOL integration Willie Perez a, Stephen Turner a, Nick Brakensiek a, Lynne Mills b, Larry Wilson b, Paul Popa b a Brewer Science, Inc., 241 Brewer Dr., Rolla, MO 6541

More information

ECE321 Electronics I

ECE321 Electronics I ECE321 Electronics I Lecture 19: CMOS Fabrication Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Tuesday 2:00-3:00PM or by appointment E-mail: payman@ece.unm.edu Slide: 1 Miller Effect Interconnect

More information

Development of a New Tungsten Pad Utilizing Outsource CMP Capabilities

Development of a New Tungsten Pad Utilizing Outsource CMP Capabilities Development of a New Tungsten Pad Utilizing Outsource CMP Capabilities by Robert L. Rhoades; Entrepix, Inc., John Bare, Anthony J. Clark, and Ed Atkinson; psiloquest, Inc. Presented to CMP-MIC 2005 Summary

More information

Cover. Thin is In. Solving the Challenges of Thin Film Metrology at 65 nm and Beyond

Cover. Thin is In. Solving the Challenges of Thin Film Metrology at 65 nm and Beyond Cover Story Thin is In Solving the Challenges of Thin Film Metrology at 65 nm and Beyond Arun R. Srivatsa, KLA-Tencor Shrinking process windows and a variety of new materials and processes associated with

More information

Fundamental Characterization of Chemical Mechanical Planarization Relating to Slurry Dispensing and Conditioning Method

Fundamental Characterization of Chemical Mechanical Planarization Relating to Slurry Dispensing and Conditioning Method Fundamental Characterization of Chemical Mechanical Planarization Relating to Slurry Dispensing and Conditioning Method Item Type text; Electronic Dissertation Authors Han, Ruochen Publisher The University

More information

Corial PS200 4-sided multi-module platform

Corial PS200 4-sided multi-module platform Corial PS200 4-sided multi-module platform Single wafer platform equipped with 200 mm modules Integration of ICP-CVD or PECVD process chambers Fully automated platform with cassette-to-cassette handler

More information

2006 Analyst Day. November 2 Aurora, Illinois

2006 Analyst Day. November 2 Aurora, Illinois 2006 Analyst Day November 2 Aurora, Illinois Safe Harbor Statement The information contained in and discussed during this presentation may include forward-looking statements within the meaning of federal

More information

CMP Process Development for Shallow Trench Isolation (STI)

CMP Process Development for Shallow Trench Isolation (STI) CMP Process Development for Shallow Trench Isolation (STI) Robert A. Seifridge Microelectronic Engineering Rochester Institute of Technology Rochester, NY 14623 Abstract Tool characterization and optimization

More information

Motorola MC68360EM25VC Communication Controller

Motorola MC68360EM25VC Communication Controller Construction Analysis EM25VC Communication Controller Report Number: SCA 9711-562 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780 Fax:

More information

Novel Slurry Injection System for Reduced Slurry Usage and Enhanced CMP Performance. Ara Philipossian [Araca Incorporated, Tucson AZ USA]

Novel Slurry Injection System for Reduced Slurry Usage and Enhanced CMP Performance. Ara Philipossian [Araca Incorporated, Tucson AZ USA] Novel Slurry Injection System for Reduced Slurry Usage and Enhanced CMP Performance Ara Philipossian [Araca Incorporated, Tucson AZ USA] Problem Statement Slurries are expensive and reducing their flow

More information

New Applications for CMP: Solving the Technical and Business Challenges. Robert L. Rhoades, Ph.D. NSTI Conference (Houston, TX) May 5, 2009

New Applications for CMP: Solving the Technical and Business Challenges. Robert L. Rhoades, Ph.D. NSTI Conference (Houston, TX) May 5, 2009 New Applications for CMP: Solving the Technical and Business Challenges Robert L. Rhoades, Ph.D. NSTI Conference (Houston, TX) May 5, 2009 Outline Background and Business Climate for CMP Technical Approach

More information

Manufacturing. Continued

Manufacturing. Continued Manufacturing 213 Manufacturing Advanced Run by Run Control for Epitaxial Silicon Deposition Thermal Imaging Sensor for CMP Endpoint Detection and Uniformity Control Characterization of Wafer Bonding in

More information

Endpoint Detection of Low Open Area Contact Nitride Etches by Use of Optical Emission Spectroscopy in an APC Compatible Multi-Sensor Platform

Endpoint Detection of Low Open Area Contact Nitride Etches by Use of Optical Emission Spectroscopy in an APC Compatible Multi-Sensor Platform Endpoint Detection of Low Open Area Contact Nitride Etches by Use of Optical Emission Spectroscopy in an APC Compatible Multi-Sensor Platform AEC/APC Asia 2005 Bernard KAPLAN, Eric BLUEM (HORIBA Jobin

More information

Thanks, Bill. Good morning, everyone, and thanks for joining us.

Thanks, Bill. Good morning, everyone, and thanks for joining us. Cabot Microelectronics Corporation 2007 Third Fiscal Quarter Earnings Report July 26, 2007 Good morning. This is Bill Johnson, Vice President and Chief Financial Officer for Cabot Microelectronics Corporation.

More information

INTEGRATED-CIRCUIT TECHNOLOGY

INTEGRATED-CIRCUIT TECHNOLOGY INTEGRATED-CIRCUIT TECHNOLOGY 0. Silicon crystal growth and wafer preparation 1. Processing Steps 1.1. Photolitography 1.2. Oxidation 1.3. Layer Deposition 1.4. Etching 1.5. Diffusion 1.6 Backend: assembly,

More information