Basic&Laboratory& Materials&Science&and&Engineering& Micro&Electromechanical&Systems&& (MEMS)&

Size: px
Start display at page:

Download "Basic&Laboratory& Materials&Science&and&Engineering& Micro&Electromechanical&Systems&& (MEMS)&"

Transcription

1 Basic&Laboratory& Materials&Science&and&Engineering& Micro&Electromechanical&Systems&& (MEMS)& M105& As of: Introduction Materials used in MEMS fabrication MEMS fabrication processes Deposition processes Photolithography Preparation Application of photoresist Exposure Development Etching processes Applications Experimental Evaluation Questions Bibliography... 8

2 1 Introduction Microelectromechanical systems (MEMS) is a technology involving very small mechanical devices driven by electricity and having at least some of their dimensions in the micrometer range. Typical MEMS consist of components with sizes ranging from 1 to 100 µm. The whole MEMS device generally ranges in size from 20 µm to 1 mm. It usually consists of a central unit that processes data, the microprocessor and several components that interact with the environment, such as pressure sensors, accelerometers or gyroscopes. In the 1980s, MEMS fabrication was almost exclusively based on processes and materials borrowed from IC (integrated circuit) fabrication labs. In the 1990s, additional techniques including molding, plating, wet and dry etching and other technologies capable of manufacturing small devices were developed. To make new applications possible, many quite exotic materials were integrated into MEMS devices. For applications in the fields of medicine and biochemistry, these materials include, for example, gas-permeable membranes, enzymes, biological cells and antibodies. 2 Materials used in MEMS fabrication Silicon Silicon is the material used to create most integrated circuits in consumer electronics today. It is also an attractive material for the production of MEMS, as it displays many advantageous mechanical and chemical properties. Single crystalline silicon is an almost perfect Hookean material. This means that when silicon is bent, there is virtually no hysteresis and hence almost no energy loss. This property makes it the ideal material where many small movements and high reliability are required. Silicon shows very little fatigue and can achieve service lifetimes in the range of billions to trillions of cycles. Other materials Besides silicon, some other metals and polymers can be used to form MEMS elements or functional layers. The common fabrication processes for metals, such as gold, nickel, copper, titanium, silver and several more, are electroplating, evaporation and sputter deposition. Polymeric MEMS can be produced by using injection molding, embossing or stereolithography. These MEMS devices are especially well suited to microfluidic applications, such as disposable blood testing cartridges. 3 MEMS Fabrication processes The fabrication of MEMS can generally be divided into three sections: the deposition of additional layers onto a planar substrate; the patterning of these layers, for example by photolithography; and the subsequent selective etching of the applied pattern. 2

3 3.1. Deposition processes In MEMS fabrication, it is of great importance to be able to deposit homogeneous thin films of material having a thickness of a few nanometers to about 100 µm. Depending on the material to be deposited, there are many different deposition processes available: physical vapour deposition (PVD) techniques, such as sputtering, molecular beam epitaxy, laser sputter deposition or ion plating; chemical vapour deposition (CVD) techniques, the sol-gel deposition technique, screen printing, plastic spraying, dip and spin coating, glow discharge polymerization, thermal oxidation and several more Photolithography Photolithography is a process widely used in the fabrication of integrated circuits or MEMS. With this process, material can be selectively removed from or added to the substrate. Photolithography uses light to transfer a geometric pattern from a mask on to a photosensitive layer on the wafer surface. In a subsequent step, it is then possible to either transfer this pattern to the underlying substrate by chemical treatments or to selectively deposit material by sputter deposition, for example. In a complex integrated circuit such as a modern CMOS (complementary metal oxide semiconductor), a wafer will go through the photolithographic cycle up to 50 times, so an accurate positioning of the wafer with respect to the mask is of utmost importance Preparation In the first step, possible organic or inorganic contamination is removed from the wafer surface by a wet chemical cleaning treatment. The standard cleaning process developed by the Radio Corporation of America (RCA) involves, for example, three cleaning steps based on solutions containing hydrogen peroxide. In the following step, the wafer is heated to a temperature high enough to drive off any remaining moisture from the wafer surface. This baking is done in order to improve the adhesion of the photoresist layer. To further enhance the adhesion of the photoresist layer to the wafer surface, a liquid or gaseous adhesion promoter, for example hexamethyldisilazane (HMDS), is applied. In fact, the term "adhesion promoter" is not fully correct, as the surface layer of SiO 2 on the wafer reacts with the agent to form methylated silicon-hydroxide, a highly water repellent layer. It prevents the aqueous developer from penetrating between the photoresist layer and the wafer's surface and thus prevents unwanted lifting of small photoresist structures in the pattern Application of photoresist The application of photoresist onto the wafer takes place by spin coating. For this, the photoresist is dispensed from a viscous solution of the polymer onto the wafer. To create a uniform film, the wafer is then spun at high speed of between 1500 and 8000 revolutions per minute (rpm), depending on the viscosity of the photoresist and the desired film thickness. The high uniformity of these films in the range of 5 to 10 nm can be explained by fluidmechanical modelling. It can be shown that the resist moves much faster at the top of the layer than at the bottom, where viscous forces bind the resist to the wafer surface. After the spin coating process, the photoresist still contains up to 15% solvent and may contain built-in 3

4 stresses. Therefore the wafers are soft-baked at 75 C to 110 C, depending on the resist used, to remove solvent and stress and to promote adhesion of the resist layer to the wafer Exposure In the next step, the photoresist is exposed to a pattern of intense UV-light. For so-called positive photoresists, the photochemical reaction during the exposure weakens the polymer by rupture or scission of the main and side polymer chains. Then, the resist in the exposed regions is about ten times more soluble than in the non-exposed regions. In so-called negative photoresists, the exposure to UV-light strengthens the polymer by random cross-linkage of side and main chains. The exposed part of the resist becomes less soluble. Figure 1. Pattern transfer for positive and negative resist This chemical change allows some of the photoresist to be removed with a special solution, called the "developer". Exposure systems Exposure systems typically produce an image on the resist-covered wafer using a photomask that blocks UV-light in some areas and lets it pass in others. Exposure systems may be classified by the optics that transfer the image from the mask to the wafer. Contact and proximity printing In contact printing, a photomask is put in direct contact with the wafer and both parts are exposed to uniform light. A proximity printer puts a small gap of about 10µm between the photomask and wafer. In both cases, the mask covers the entire wafer and the patterns on the mask are transferred to the wafer one to one. The problems in contact printing are the possible damage of mask and wafer and contamination of the mask by sticking photoresist. These were the reasons why this method was considered unsuitable for high volume production. In research and prototyping processes 4

5 on the other hand, contact lithography is often used, because it uses inexpensive hardware and can achieve high optical resolution. In proximity printing, the spacing between mask and wafer minimizes the defects that result from contact. On the other hand, diffraction of the transmitted light reduces the resolution. The degree of reduction in resolution and image distortion depends on the wafer-to-mask distance, which may vary across the wafer. The resolution in contact and proximity printing can be described by the following formula: R = 1.5 [λ (s + 0.5z)] with R: resolution, λ: wavelength of the exposing radiation, s: gap between mask and photoresist surface and z: photoresist thickness. Both contact and proximity lithography require the light intensity to be uniform across an entire wafer, and the mask to align precisely to features already on the wafer. As modern processes use increasingly larger wafers, these conditions become increasingly difficult. Projection printing In very-large-scale integration lithography, projection systems are used. Unlike contact or proximity masks, which cover the entire wafer, projection masks show only one die or an array of dies and, in contrast to the contact or proximity printer, they have additional lenses between mask and wafer. Projection exposure systems, also called steppers, project the mask onto the wafer many times to create the complete pattern. The pattern on the mask in this exposure system is not transferred to the wafer one by one, but is miniaturized up to 5 times Development After the exposure, the wafer must be developed in order to create a three dimensional structure from the photoresist layer. Generally there are two main technologies for the development: wet development and dry development. Wet development can be based on three different radiation induced changes in the photoresist: change in molecular weight by cross linkage or chain scission, change in reactivity, or change in polarity. During wet developing, the wafer is immersed in the developer for a certain time period and constantly moved. Positive photoresists are typically developed in aqueous alkaline solutions, and negative resists in organic solutions. Alternatively, parts of the photoresist may be removed by dry etching in a plasma that contains oxygen. The three dimensional pattern is formed, because the exposed part of the photoresist exhibits a different etching rate compared to the non-exposed part Etching processes In order to transfer the pattern formed by the photoresist layer to the underlying substrate, an etching process has to be performed. This can generally be done by two different techniques: wet etching and dry etching. 5

6 In wet etching processes, the material is dissolved when immersed in a chemical solution. This process is often used in MEMS in order to release suspended structures from underlying layers by underetching. In general, good selectivity can be obtained, which means that the etching rate of material to be removed and mask differ by a great amount. In dry etching processes, various gases are used to remove material. An common technique is reactive ion etching (RIE). Here the substrate is placed inside a reactor into which several gases are introduced. With a radio frequency (RF) power source, a plasma is established in the gas mixture, which breaks the gas molecules into ions. The ions are accelerated towards the surface of the material to be etched, react with it and form other gaseous materials. This process is the chemical part of RIE. The physical part of this dry etching process resembles the sputter deposition process. Ions of high kinetic energy can knock atoms out of the material to be etched without a chemical reaction. The development of dry etch processes that balance chemical and physical etching is a highly complicated task, as there are many different parameters to adjust. By changing the balance, it is possible to influence the anisotropy of the etching. Since the chemical part is isotropic and the physical part highly anisotropic, the combination can form sidewalls that have shapes from rounded to vertical. With a special process called the Bosch process, it is even possible to form structures with vertical side walls at an etch depth of several hundred micrometers. A disadvantage of reactive ion etching is that for many materials there are no suitable gases or those used are highly poisonous. 4 Applications Inkjet printers, which use piezoelectrics or thermal bubble ejection to deposit ink on paper. Accelerometers in modern cars for a large number of purposes, including airbag deployment in collisions. Accelerometers in consumer electronics devices, such as game controllers (Nintendo Wii), personal media players and mobile phones (Apple iphone, various Nokia mobile phone models, various HTC PDA models) and a number of digital cameras (various Canon Digital IXUS models). Also used in PCs to park the hard disk head when free-fall is detected, to prevent damage and data loss. MEMS gyroscopes used in modern cars and other applications to detect yaw and deploy a rollover bar or trigger dynamic stability control. Silicon pressure sensors, for example car tire pressure sensors and disposable blood pressure sensors. Optical switching technology which is used for switching technology and alignment for data communications. Bio-MEMS applications in medical and health related technologies from Lab-On-Chip to MicroTotalAnalysis (biosensor, chemosensor). 5 Experimental Due to limited time, the focus of this lab course will be lithography processes needed to fabricate MEMS devices. For the fabrication of MEMS, the transfer of a mask pattern to a silicon wafer can be done with photolithography. For this, two to three 4 inch silicon wafers (depending on the number of students) will be processed as follows: 6

7 use the HMDS oven to cover the wafer with HMDS spin on positive photoresist AZ1518 on the front side of the wafers (2850rpm) soft bake the wafers on a hot plate at 105 C for 1 min determine the UV-intensity distribution of the lamp of the mask aligner and calculate the mean value load the mask in the mask aligner adjust the setting of the mask aligner for o proximity style patterning with an alignment gap of 100µm and a print gap of 16µm o soft contact style patterning o vacuum contact style patterning adjust the exposure time to obtain a dose of 50 mj/cm² using the previously obtained mean value for the UV-intensity load the soft baked wafers into the mask aligner expose the wafers to UV-light note the exposure time develop each wafer while constantly stirring the wafer. Developer: AZ MIF 726, developing time: s. The wafers must be developed immediately after exposure! rinse the wafers in deionized (DI) water for 2 min and dry them in the spin dryer inspect the wafer under the microscope o measure the patterning and compare the results to the patterning of the mask (provided by the supervisor) measure the resist thickness with the profilometer clean the spin-coater chuck and the hotplate with acetone and isopropanol. Blow dry with N 2. 6 Evaluation Determine the UV-intensity distribution and calculate the mean value, the deviation and the necessary exposure time. Determine the resist homogeneity for the various exposure modes. Calculate the edge steepness of the photoresist pattern from the profilometer data for the various exposure modes. Compare the feature size of the mask with the feature size on the differently processed wafers. Compare the results of the different exposure modes. Feature size, edge steepness, resist homogeneity, resist thickness, 7 Questions 1. Why is there only yellow light in the photolithography rooms? 2. What is the purpose of HMDS? 7

8 3. How thick is the photoresist layer? Which parameters influence the photoresist layer thickness? 4. Why do we soft bake the wafers? 5. What is the difference between proximity and soft/vacuum contact exposure? Describe the advantages and disadvantages of the different exposure modes in your own words. 6. What is the difference between positive and negative photoresist? 7. What are the critical parameters of lithography? Which resolution can be achieved? 8 Bibliography M.J. Madou: Fundamentals of Microfabrication the science of miniturization W. Menz, J. Mohr, O. Paul: Mikrosystemtechnik für Ingenieure S.D. Senturia: Microsystem Design 8

EE 5344 Introduction to MEMS. CHAPTER 3 Conventional Si Processing

EE 5344 Introduction to MEMS. CHAPTER 3 Conventional Si Processing 3. Conventional licon Processing Micromachining, Microfabrication. EE 5344 Introduction to MEMS CHAPTER 3 Conventional Processing Why silicon? Abundant, cheap, easy to process. licon planar Integrated

More information

micro resist technology

micro resist technology Characteristics Processing guidelines Negative Tone Photoresist Series ma-n 1400 ma-n 1400 is a negative tone photoresist series designed for the use in microelectronics and microsystems. The resists are

More information

Photolithography I ( Part 2 )

Photolithography I ( Part 2 ) 1 Photolithography I ( Part 2 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 9/13/2007 Fabrication Technology Lecture 1 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world)

More information

Microelectronic Device Instructional Laboratory. Table of Contents

Microelectronic Device Instructional Laboratory. Table of Contents Introduction Process Overview Microelectronic Device Instructional Laboratory Introduction Description Flowchart MOSFET Development Process Description Process Steps Cleaning Solvent Cleaning Photo Lithography

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2003) Fabrication Technology, Part I Agenda: Oxidation, layer deposition (last lecture) Lithography Pattern Transfer (etching) Impurity Doping Reading: Senturia,

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Metallization deposition and etching. Material mainly taken from Campbell, UCCS Metallization deposition and etching Material mainly taken from Campbell, UCCS Application Metallization is back-end processing Metals used are aluminum and copper Mainly involves deposition and etching,

More information

micro resist technology

micro resist technology Characteristics Processing guidelines Negative Tone Photoresist Series ma-n 2400 ma-n 2400 is a negative tone photoresist series designed for the use in micro- and nanoelectronics. The resists are available

More information

GLM General information. Technical Datasheet

GLM General information. Technical Datasheet GLM 2060 Nanocomposite SU-8-negative tone photo-epoxy for layers from 6.0 to 50µm Technical Datasheet Gersteltec Sarl. Générale Guisan 26, 1009, Pully Switzerland Switzerland / Israel / Taiwan Contact:

More information

Photolithography Process Technology

Photolithography Process Technology Contents Photolithography Process - Wafer Preparation - Photoresist Coating - Align & Expose - Photoresist Development Process Control CD Measurement Equipment Expose System & Wafer Track Consumables Chemicals

More information

General Introduction to Microstructure Technology p. 1 What is Microstructure Technology? p. 1 From Microstructure Technology to Microsystems

General Introduction to Microstructure Technology p. 1 What is Microstructure Technology? p. 1 From Microstructure Technology to Microsystems General Introduction to Microstructure Technology p. 1 What is Microstructure Technology? p. 1 From Microstructure Technology to Microsystems Technology p. 9 The Parallels to Microelectronics p. 15 The

More information

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam PHYS 534 (Fall 2008) Process Integration Srikar Vengallatore, McGill University 1 OUTLINE Examples of PROCESS FLOW SEQUENCES >Semiconductor diode >Surface-Micromachined Beam Critical Issues in Process

More information

Czochralski Crystal Growth

Czochralski Crystal Growth Czochralski Crystal Growth Crystal Pulling Crystal Ingots Shaping and Polishing 300 mm wafer 1 2 Advantage of larger diameter wafers Wafer area larger Chip area larger 3 4 Large-Diameter Wafer Handling

More information

Introduction to Micro/Nano Fabrication Techniques. Date: 2015/05/22 Dr. Yi-Chung Tung. Fabrication of Nanomaterials

Introduction to Micro/Nano Fabrication Techniques. Date: 2015/05/22 Dr. Yi-Chung Tung. Fabrication of Nanomaterials Introduction to Micro/Nano Fabrication Techniques Date: 2015/05/22 Dr. Yi-Chung Tung Fabrication of Nanomaterials Top-Down Approach Begin with bulk materials that are reduced into nanoscale materials Ex:

More information

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing ELEC 3908, Physical Electronics, Lecture 4 Basic Integrated Circuit Processing Lecture Outline Details of the physical structure of devices will be very important in developing models for electrical behavior

More information

Preface Preface to First Edition

Preface Preface to First Edition Contents Foreword Preface Preface to First Edition xiii xv xix CHAPTER 1 MEMS: A Technology from Lilliput 1 The Promise of Technology 1 What Are MEMS or MST? 2 What Is Micromachining? 3 Applications and

More information

Today s Class. Materials for MEMS

Today s Class. Materials for MEMS Lecture 2: VLSI-based Fabrication for MEMS: Fundamentals Prasanna S. Gandhi Assistant Professor, Department of Mechanical Engineering, Indian Institute of Technology, Bombay, Recap: Last Class What is

More information

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in Chapter 5 PROCESSING OF DEVICES A discussion of crystal growth, lithography, etching, doping, and device structures is presented in the following overview gures. SEMICONDUCTOR DEVICE PROCESSING: AN OVERVIEW

More information

Introduction to Nanoscience and Nanotechnology

Introduction to Nanoscience and Nanotechnology Introduction to Nanoscience and Nanotechnology ENS 463 2. Principles of Nano-Lithography by Alexander M. Zaitsev alexander.zaitsev@csi.cuny.edu Tel: 718 982 2812 Office 4N101b 1 Lithographic patterning

More information

Supporting Information: Model Based Design of a Microfluidic. Mixer Driven by Induced Charge Electroosmosis

Supporting Information: Model Based Design of a Microfluidic. Mixer Driven by Induced Charge Electroosmosis Supporting Information: Model Based Design of a Microfluidic Mixer Driven by Induced Charge Electroosmosis Cindy K. Harnett, Yehya M. Senousy, Katherine A. Dunphy-Guzman #, Jeremy Templeton * and Michael

More information

Gaetano L Episcopo. Introduction to MEMS

Gaetano L Episcopo. Introduction to MEMS Gaetano L Episcopo Introduction to MEMS What are MEMS? Micro Electro Mechanichal Systems MEMS are integrated devices, or systems of devices, with microscopic parts, such as: Mechanical Parts Electrical

More information

Mostafa Soliman, Ph.D. May 5 th 2014

Mostafa Soliman, Ph.D. May 5 th 2014 Mostafa Soliman, Ph.D. May 5 th 2014 Mostafa Soliman, Ph.D. 1 Basic MEMS Processes Front-End Processes Back-End Processes 2 Mostafa Soliman, Ph.D. Wafers Deposition Lithography Etch Chips 1- Si Substrate

More information

MCC. PMGI Resists NANO PMGI RESISTS OFFER RANGE OF PRODUCTS

MCC. PMGI Resists NANO PMGI RESISTS OFFER RANGE OF PRODUCTS MCC PMGI RESISTS OFFER Sub.25µm lift-off processing Film thicknesses from 5µm Choice of resin blends for optimal undercut control High thermal stability Superior adhesion to Si, NiFe, GaAs, InP

More information

IC/MEMS Fabrication - Outline. Fabrication

IC/MEMS Fabrication - Outline. Fabrication IC/MEMS Fabrication - Outline Fabrication overview Materials Wafer fabrication The Cycle: Deposition Lithography Etching Fabrication IC Fabrication Deposition Spin Casting PVD physical vapor deposition

More information

EE 527 MICROFABRICATION. Lecture 15 Tai-Chang Chen University of Washington EE-527 M4 MASK SET: NPN BJT. C (sub) A E = 40 µm x 40 µm

EE 527 MICROFABRICATION. Lecture 15 Tai-Chang Chen University of Washington EE-527 M4 MASK SET: NPN BJT. C (sub) A E = 40 µm x 40 µm EE 527 MICROFABRICATION Lecture 15 Tai-Chang Chen University of Washington EE-527 M4 MASK SET: NPN BJT C (sub) E B A E = 40 µm x 40 µm 1 EE-527 M4 MASK SET: MOS C-V TEST CAPACITORS W = 10 µm L = 10 µm

More information

Lab #2 Wafer Cleaning (RCA cleaning)

Lab #2 Wafer Cleaning (RCA cleaning) Lab #2 Wafer Cleaning (RCA cleaning) RCA Cleaning System Used: Wet Bench 1, Bay1, Nanofabrication Center Chemicals Used: H 2 O : NH 4 OH : H 2 O 2 (5 : 1 : 1) H 2 O : HF (10 : 1) H 2 O : HCl : H 2 O 2

More information

Micromachining AMT 2505

Micromachining AMT 2505 Micromachining AMT 2505 Shanmuga Raja.B (BVB0912004) Module leader : Mr. Raja Hussain Introduction Micromachining are inherently connected to the evolution of Micro Electro Mechanical Systems (MEMS). Decades

More information

Processing guidelines. Negative Tone Photoresists mr-ebl 6000

Processing guidelines. Negative Tone Photoresists mr-ebl 6000 Characteristics Processing guidelines Negative Tone Photoresists mr-ebl 6000 mr-ebl 6000 is a chemically amplified negative tone photoresist for the use in micro- and nanoelectronics. - Electron beam sensitive

More information

4. Thermal Oxidation. a) Equipment Atmospheric Furnace

4. Thermal Oxidation. a) Equipment Atmospheric Furnace 4. Thermal Oxidation a) Equipment Atmospheric Furnace Oxidation requires precise control of: temperature, T ambient gas, G time spent at any given T & G, t Vito Logiudice 34 4. Thermal Oxidation b) Mechanism

More information

Thomas M. Adams Richard A. Layton. Introductory MEMS. Fabrication and Applications. Springer

Thomas M. Adams Richard A. Layton. Introductory MEMS. Fabrication and Applications. Springer Thomas M. Adams Richard A. Layton Introductory MEMS Fabrication and Applications Springer Contents Preface xiü Part I Fabrication Chapter 1: Introduction 3 1.1 What are MEMS? 3 1.2 Why MEMS? 4 1.2.1. Low

More information

Processing guidelines. Negative Tone Photoresist Series ma-n 2400

Processing guidelines. Negative Tone Photoresist Series ma-n 2400 Characteristics Processing guidelines Negative Tone Photoresist Series ma-n 2400 ma-n 2400 is a negative tone photoresist series designed for the use in micro- and nanoelectronics. The resists are available

More information

Dow Corning WL-5150 Photodefinable Spin-On Silicone

Dow Corning WL-5150 Photodefinable Spin-On Silicone Dow Corning WL-515 Photodefinable Spin-On Silicone Properties and Processing Procedures Introduction Dow Corning WL-515 is a silicone formulation which can be photopatterned and cured using standard microelectronics

More information

Because of equipment availability, cost, and time, we will use aluminum as the top side conductor

Because of equipment availability, cost, and time, we will use aluminum as the top side conductor Because of equipment availability, cost, and time, we will use aluminum as the top side conductor Top Side Conductor vacuum deposition Aluminum sputter deposit in Argon plasma CVC 601-sputter deposition

More information

Processing guidelines

Processing guidelines Processing guidelines mr-uvcur21 series UV-curable Polymer for UV-based Nanoimprint Lithography Characteristics mr-uvcur21 is a liquid UV-curable polymer system with low viscosity and high curing rate

More information

CSCI 4974 / 6974 Hardware Reverse Engineering. Lecture 5: Fabrication processes

CSCI 4974 / 6974 Hardware Reverse Engineering. Lecture 5: Fabrication processes CSCI 4974 / 6974 Hardware Reverse Engineering Lecture 5: Fabrication processes QUIZ 3: CMOS layout Quiz Discussion Rationale If you know how something is put together, you can figure out how to take it

More information

UV15: For Fabrication of Polymer Optical Waveguides

UV15: For Fabrication of Polymer Optical Waveguides CASE STUDY UV15: For Fabrication of Polymer Optical Waveguides Master Bond Inc. 154 Hobart Street, Hackensack, NJ 07601 USA Phone +1.201.343.8983 Fax +1.201.343.2132 main@masterbond.com CASE STUDY UV15:

More information

Photolithography. Dong-Il Dan Cho. Seoul National University Nano/Micro Systems & Controls Laboratory

Photolithography. Dong-Il Dan Cho. Seoul National University Nano/Micro Systems & Controls Laboratory Lecture 9: Photolithography School of Electrical l Engineering i and Computer Science, Seoul National University Nano/Micro Systems & Controls Laboratory Email: dicho@snu.ac.kr URL: http://nml.snu.ac.kr

More information

Fabrication Process. Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation CONCORDIA VLSI DESIGN LAB

Fabrication Process. Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation CONCORDIA VLSI DESIGN LAB Fabrication Process Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation 1 Fabrication- CMOS Process Starting Material Preparation 1. Produce Metallurgical Grade Silicon

More information

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS AND FABRICATION ENGINEERING ATTHE MICRO- NANOSCALE Fourth Edition STEPHEN A. CAMPBELL University of Minnesota New York Oxford OXFORD UNIVERSITY PRESS CONTENTS Preface xiii prrt i OVERVIEW AND MATERIALS

More information

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller Webpage: http://www.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604

More information

Lect. 2: Basics of Si Technology

Lect. 2: Basics of Si Technology Unit processes Thin Film Deposition Etching Ion Implantation Photolithography Chemical Mechanical Polishing 1. Thin Film Deposition Layer of materials ranging from fractions of nanometer to several micro-meters

More information

Temperature Scales. Questions. Temperature Conversions 7/21/2010. EE580 Solar Cells Todd J. Kaiser. Thermally Activated Processes

Temperature Scales. Questions. Temperature Conversions 7/21/2010. EE580 Solar Cells Todd J. Kaiser. Thermally Activated Processes 7/1/010 EE80 Solar Cells Todd J. Kaiser Flow of Wafer in Fabrication Lecture 0 Microfabrication A combination of Applied Chemistry, Physics and ptics Thermal Processes Diffusion & xidation Photolithograpy

More information

Introduction to Lithography

Introduction to Lithography Introduction to Lithography G. D. Hutcheson, et al., Scientific American, 290, 76 (2004). Moore s Law Intel Co-Founder Gordon E. Moore Cramming More Components Onto Integrated Circuits Author: Gordon E.

More information

Screen Printing of Highly Loaded Silver Inks on. Plastic Substrates Using Silicon Stencils

Screen Printing of Highly Loaded Silver Inks on. Plastic Substrates Using Silicon Stencils Supporting Information Screen Printing of Highly Loaded Silver Inks on Plastic Substrates Using Silicon Stencils Woo Jin Hyun, Sooman Lim, Bok Yeop Ahn, Jennifer A. Lewis, C. Daniel Frisbie*, and Lorraine

More information

Review of CMOS Processing Technology

Review of CMOS Processing Technology - Scaling and Integration Moore s Law Unit processes Thin Film Deposition Etching Ion Implantation Photolithography Chemical Mechanical Polishing 1. Thin Film Deposition Layer of materials ranging from

More information

Mikrosensorer. Microfabrication 1

Mikrosensorer. Microfabrication 1 Mikrosensorer Microfabrication 1 Literature Introductory MEMS Fabrication and Applications Thomas M. Adams and Richard A. Layton Available as ebook on http://www.lub.lu.se/en/search/lubsearch.html This

More information

Fabrication Technology

Fabrication Technology Fabrication Technology By B.G.Balagangadhar Department of Electronics and Communication Ghousia College of Engineering, Ramanagaram 1 OUTLINE Introduction Why Silicon The purity of Silicon Czochralski

More information

Micromachining vs. Soft Fabrication

Micromachining vs. Soft Fabrication Introduction to BioMEMS & Medical Microdevices Silicon Microfabrication Part 1 Companion lecture to the textbook: Fundamentals of BioMEMS and Medical Microdevices, by Prof., http://saliterman.umn.edu/

More information

Silicon Manufacturing

Silicon Manufacturing Silicon Manufacturing Group Members Young Soon Song Nghia Nguyen Kei Wong Eyad Fanous Hanna Kim Steven Hsu th Fundamental Processing Steps 1.Silicon Manufacturing a) Czochralski method. b) Wafer Manufacturing

More information

EE 330 Lecture 8. IC Fabrication Technology Part II. - Oxidation - Epitaxy - Polysilicon - Interconnects

EE 330 Lecture 8. IC Fabrication Technology Part II. - Oxidation - Epitaxy - Polysilicon - Interconnects EE 330 Lecture 8 IC Fabrication Technology Part II - Oxidation - Epitaxy - Polysilicon - Interconnects Review from Last Time MOS Transistor Bulk Source Gate Drain p-channel MOSFET Lightly-doped n-type

More information

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS Contents: VI Sem ECE 06EC63: Analog and Mixed Mode VLSI Design PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS 1. Introduction 2. CMOS Fabrication 3. Simplified View of Fabrication Process 3.1 Alternative

More information

Technology. Semiconductor Manufacturing. Hong Xiao INTRODUCTION TO SECOND EDITION SPIE PRESS

Technology. Semiconductor Manufacturing. Hong Xiao INTRODUCTION TO SECOND EDITION SPIE PRESS INTRODUCTION TO Semiconductor Manufacturing Technology SECOND EDITION Hong Xiao TECHNISCHE INFORMATIONSBiBUOTHEK UNIVERSITATSBIBLIOTHEK HANNOVER SPIE PRESS Bellingham,Washington USA Contents Preface to

More information

Most semiconductor devices contain at least one junction between p-type and n-type material. These p-n junctions are fundamental to the performance

Most semiconductor devices contain at least one junction between p-type and n-type material. These p-n junctions are fundamental to the performance Ch. 5: p-n Junction Most semiconductor devices contain at least one junction between p-type and n-type material. These p-n junctions are fundamental to the performance of functions such as rectification,

More information

The Physical Structure (NMOS)

The Physical Structure (NMOS) The Physical Structure (NMOS) Al SiO2 Field Oxide Gate oxide S n+ Polysilicon Gate Al SiO2 SiO2 D n+ L channel P Substrate Field Oxide contact Metal (S) n+ (G) L W n+ (D) Poly 1 3D Perspective 2 3 Fabrication

More information

Chapter 2 Manufacturing Process

Chapter 2 Manufacturing Process Digital Integrated Circuits A Design Perspective Chapter 2 Manufacturing Process 1 CMOS Process 2 CMOS Process (n-well) Both NMOS and PMOS must be built in the same silicon material. PMOS in n-well NMOS

More information

Semiconductor device fabrication

Semiconductor device fabrication REVIEW Semiconductor device fabrication is the process used to create the integrated circuits (silicon chips) that are present in everyday electrical and electronic devices. It is a multiplestep sequence

More information

Filling and Planarizing Deep Trenches with Polymeric Material for Through-Silicon Via Technology

Filling and Planarizing Deep Trenches with Polymeric Material for Through-Silicon Via Technology Filling and Planarizing Deep Trenches with Polymeric Material for Through-Silicon Via Technology R.K. Trichur, M. Fowler, J.W. McCutcheon, and M. Daily Brewer Science, Inc. 2401 Brewer Drive Rolla, MO

More information

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University 2014 Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University Page1 Syllabus UNIT 1 Introduction to VLSI Technology: Classification of ICs, Scale of integration,

More information

Fabrication and Layout

Fabrication and Layout ECEN454 Digital Integrated Circuit Design Fabrication and Layout ECEN 454 3.1 A Glimpse at MOS Device Polysilicon Aluminum ECEN 475 4.2 1 Material Classification Insulators Glass, diamond, silicon oxide

More information

Atomic Layer Deposition(ALD)

Atomic Layer Deposition(ALD) Atomic Layer Deposition(ALD) AlO x for diffusion barriers OLED displays http://en.wikipedia.org/wiki/atomic_layer_deposition#/media/file:ald_schematics.jpg Lam s market-leading ALTUS systems combine CVD

More information

Wireless implantable chip with integrated Nitinol-based pump for radio-controlled local drug delivery

Wireless implantable chip with integrated Nitinol-based pump for radio-controlled local drug delivery Electronic Supplementary Material (ESI) for Lab on a Chip. This journal is The Royal Society of Chemistry 2014 Electronic Supplementary Information Wireless implantable chip with integrated Nitinol-based

More information

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width Microelectronics Introduction to the IC technology M.Rencz 11 September, 2002 9/16/02 1/37 Integrated circuits Development is controlled by the roadmaps. Self-fulfilling predictions for the tendencies

More information

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate Development of Sidewalls Passivating Films Sidewalls get inert species deposited on them with plasma etch Creates

More information

Outline. Introduction to the LIGA Microfabrication Process. What is LIGA? The LIGA Process. Dr. Bruce K. Gale Fundamentals of Microfabrication

Outline. Introduction to the LIGA Microfabrication Process. What is LIGA? The LIGA Process. Dr. Bruce K. Gale Fundamentals of Microfabrication Outline Introduction to the LIGA Microfabrication Process Dr. Bruce K. Gale Fundamentals of Microfabrication What is LIGA? The LIGA Process Lithography Techniques Electroforming Mold Fabrication Analyzing

More information

Chapter 4 Fabrication Process of Silicon Carrier and. Gold-Gold Thermocompression Bonding

Chapter 4 Fabrication Process of Silicon Carrier and. Gold-Gold Thermocompression Bonding Chapter 4 Fabrication Process of Silicon Carrier and Gold-Gold Thermocompression Bonding 4.1 Introduction As mentioned in chapter 2, the MEMs carrier is designed to integrate the micro-machined inductor

More information

ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline:

ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline: ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline: Fabrication of p-n junctions Contact Potential Things you should know when you leave Key Questions What are the necessary steps to fabricate

More information

There are basically two approaches for bulk micromachining of. silicon, wet and dry. Wet bulk micromachining is usually carried out

There are basically two approaches for bulk micromachining of. silicon, wet and dry. Wet bulk micromachining is usually carried out 57 Chapter 3 Fabrication of Accelerometer 3.1 Introduction There are basically two approaches for bulk micromachining of silicon, wet and dry. Wet bulk micromachining is usually carried out using anisotropic

More information

Surface micromachining and Process flow part 1

Surface micromachining and Process flow part 1 Surface micromachining and Process flow part 1 Identify the basic steps of a generic surface micromachining process Identify the critical requirements needed to create a MEMS using surface micromachining

More information

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica Microelettronica Planar Technology for Silicon Integrated Circuits Fabrication 26/02/2017 A. Neviani - Microelettronica Introduction Simplified crosssection of an nmosfet and a pmosfet Simplified crosssection

More information

EELE408 Photovoltaics Lecture 02: Silicon Processing

EELE408 Photovoltaics Lecture 02: Silicon Processing EELE408 Photovoltaics Lecture 0: licon Processing Dr. Todd J. Kaiser tjkaiser@ece.montana.edu Department of Electrical and Computer Engineering Montana State University - Bozeman The Fabrication Process

More information

Polymer Microfabrication (Part II) Prof. Tianhong Cui, Mechanical Engineering ME 8254

Polymer Microfabrication (Part II) Prof. Tianhong Cui, Mechanical Engineering ME 8254 Polymer Microfabrication (Part II) Prof. Tianhong Cui, Mechanical Engineering ME 8254 Other Polymer Techniques Embossing Low cost High throughput Structures as small as 25 nm Injection molding Features

More information

Evolution of MEMS Technology

Evolution of MEMS Technology Evolution of MEMS Technology 1 Raksha Sahadev Hukkeri, 2 Shreya Madapurmath, 3 Shreelekha Navale 1,2,3 UG Student, Department of ECE, SDMCET Dharwad Abstract Micro-Electro-Mechanical Systems (MEMS) is

More information

Chapter 2 OVERVIEW OF MEMS

Chapter 2 OVERVIEW OF MEMS 6 Chapter 2 OVERVIEW OF MEMS 2.1 MEMS and Microsystems The term MEMS is an abbreviation of microelectromechanical system. MEMS contains components ofsizes in 1 micrometer to 1 millimeter. The core element

More information

ME 189 Microsystems Design and Manufacture. Chapter 9. Micromanufacturing

ME 189 Microsystems Design and Manufacture. Chapter 9. Micromanufacturing ME 189 Microsystems Design and Manufacture Chapter 9 Micromanufacturing This chapter will offer an overview of the application of the various fabrication techniques described in Chapter 8 in the manufacturing

More information

Welcome MNT Conference 1 Albuquerque, NM - May 2010

Welcome MNT Conference 1 Albuquerque, NM - May 2010 Welcome MNT Conference 1 Albuquerque, NM - May 2010 Introduction to Design Outline What is MEMs Design General Considerations Application Packaging Process Flow What s available Sandia SUMMiT Overview

More information

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate Development of Sidewalls Passivating Films Sidewalls get inert species deposited on them with plasma etch Creates

More information

Supporting Information

Supporting Information Supporting Information The adhesion circle: A new approach to better characterize directional gecko-inspired dry adhesives Yue Wang, Samuel Lehmann, Jinyou Shao and Dan Sameoto* Department of Mechanical

More information

Available online at ScienceDirect. Procedia Materials Science 6 (2014 )

Available online at  ScienceDirect. Procedia Materials Science 6 (2014 ) Available online at www.sciencedirect.com ScienceDirect Procedia Materials Science 6 (2014 ) 1170 1177 3rd International Conference on Materials Processing and Characterisation (ICMPC 2014) Micro Machining

More information

Surface Micromachining

Surface Micromachining Surface Micromachining Micro Actuators, Sensors, Systems Group University of Illinois at Urbana-Champaign Outline Definition of surface micromachining Most common surface micromachining materials - polysilicon

More information

!"#$#%&#'(() ) **+,-./01)2-,-.3)456,1) /0! **)

!#$#%&#'(() ) **+,-./01)2-,-.3)456,1) /0! **) !"#$#%&#'(() ) **+,-./01)2-,-.3)456,1) /0!7.5853-09**) Etching Removal of unwanted or non-circuit copper from board Etch resists organic and metallic resists photoresist tin, gold, nickel, silver and alloys

More information

Chemical Vapor Deposition

Chemical Vapor Deposition Chemical Vapor Deposition ESS4810 Lecture Fall 2010 Introduction Chemical vapor deposition (CVD) forms thin films on the surface of a substrate by thermal decomposition and/or reaction of gas compounds

More information

Lecture 22: Integrated circuit fabrication

Lecture 22: Integrated circuit fabrication Lecture 22: Integrated circuit fabrication Contents 1 Introduction 1 2 Layering 4 3 Patterning 7 4 Doping 8 4.1 Thermal diffusion......................... 10 4.2 Ion implantation.........................

More information

Chapter 2 MOS Fabrication Technology

Chapter 2 MOS Fabrication Technology Chapter 2 MOS Fabrication Technology Abstract This chapter is concerned with the fabrication of metal oxide semiconductor (MOS) technology. Various processes such as wafer fabrication, oxidation, mask

More information

Dr. Priyabrat Dash Office: BM-406, Mob: Webpage: MB: 205

Dr. Priyabrat Dash   Office: BM-406, Mob: Webpage:  MB: 205 Email: dashp@nitrkl.ac.in Office: BM-406, Mob: 8895121141 Webpage: http://homepage.usask.ca/~prd822/ MB: 205 Nonmanufacturing In continuation from last class... 2 Top-Down methods Mechanical-energy methods

More information

UTILIZATION OF ATMOSPHERIC PLASMA SURFACE PREPARATION TO IMPROVE COPPER PLATING PROCESSES.

UTILIZATION OF ATMOSPHERIC PLASMA SURFACE PREPARATION TO IMPROVE COPPER PLATING PROCESSES. SESSION 14 MATERIALS AND PROCESSES FOR ADVANCED PACKAGING UTILIZATION OF ATMOSPHERIC PLASMA SURFACE PREPARATION TO IMPROVE COPPER PLATING PROCESSES. Eric Schulte 1, Gilbert Lecarpentier 2 SETNA Corporation

More information

3. Photolithography, patterning and doping techniques. KNU Seminar Course 2015 Robert Mroczyński

3. Photolithography, patterning and doping techniques. KNU Seminar Course 2015 Robert Mroczyński 3. Photolithography, patterning and doping techniques KNU Seminar Course 2015 Robert Mroczyński Critical technology processes Photolithography The aim of this process is to transfer (in the most accurate

More information

More on VLSI Fabrication Technologies. Emanuele Baravelli

More on VLSI Fabrication Technologies. Emanuele Baravelli More on VLSI Fabrication Technologies Emanuele Baravelli Some more details on: 1. VLSI meaning 2. p-si epitaxial layer 3. Lithography 4. Metallization 5. Process timings What does VLSI mean, by the way?

More information

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high vacuum ~10-7 torr Removes residual gases eg oxygen from

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley Microstructure of Electronic Materials Amorphous materials Single-Crystal Material 1 The Si Atom The Si Crystal diamond structure High-performance semiconductor devices require defect-free crystals 2 Crystallographic

More information

Soft Lithography. Jin-Goo Park. Materials and Chemical Engineering Hanyang University, Ansan. Electronic Materials and Processing Lab.

Soft Lithography. Jin-Goo Park. Materials and Chemical Engineering Hanyang University, Ansan. Electronic Materials and Processing Lab. Hanyang University Soft Lithography Jin-Goo Park Materials and Chemical Engineering Hanyang University, Ansan Electronic Materials and Processing Lab. Introduction to Soft Lithography Research Micro- Electro-

More information

Leveraging the Precision of Electroforming over Alternative Processes When Developing Nano-scale Structures

Leveraging the Precision of Electroforming over Alternative Processes When Developing Nano-scale Structures VOLUME 4 - ELECTROFORMING Leveraging the Precision of over Alternative Processes When Developing Nano-scale Structures Electrical and mechanical component and subsystem designers generally have five techniques

More information

Major Fabrication Steps in MOS Process Flow

Major Fabrication Steps in MOS Process Flow Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide Silicon substrate Oxidation (Field oxide) Photoresist Coating Mask-Wafer Alignment

More information

Knowledge Probe Deposition PK Activities. Participant Guide

Knowledge Probe Deposition PK Activities. Participant Guide Deposition Overview for Microsystems Knowledge Probe Deposition PK Activities Participant Guide www.scme-nm.org University of New Mexico MEMS Fabrication Topic Deposition Overview for Microsystems Learning

More information

VLSI Technology. By: Ajay Kumar Gautam

VLSI Technology. By: Ajay Kumar Gautam By: Ajay Kumar Gautam Introduction to VLSI Technology, Crystal Growth, Oxidation, Epitaxial Process, Diffusion Process, Ion Implantation, Lithography, Etching, Metallization, VLSI Process Integration,

More information

Introduction to Microfabrication Techniques

Introduction to Microfabrication Techniques Introduction to Microfabrication Techniques 5 2 Introduction to Microfabrication Techniques Rabih Zaouk, Benjamin Y. Park, and Marc J. Madou Summary The advent of photolithography literally brought about

More information

Advanced Polymers And Resists For Nanoimprint Lithography

Advanced Polymers And Resists For Nanoimprint Lithography Q U A L I T Y A S S U R A N C E MICROSYSTEMS & NANOSYSTEMS SPECIAL REPORT Advanced Polymers And Resists For Nanoimprint Lithography Numerous polymer systems specifically designed for nanoimprint lithography

More information