Defect Engineering in Advanced Devices on High-Mobility Substrates

Size: px
Start display at page:

Download "Defect Engineering in Advanced Devices on High-Mobility Substrates"

Transcription

1 Defect Engineering in Advanced Devices on High-Mobility Substrates C. Claeys 1,2 1 IMEC, Leuven, Belgium 2 E.E. Dept., KU Leuven, Leuven, Belgium

2 Outline Introduction Defect Studies Why important Challenges ITRS and Scaling Problems Solutions Dislocation Generation High Mobility Substrates Fabrication Aspects Defect Identification Electrical Performance (leakage, lifetime, LF noise) Conclusions

3 Introduction Interest in defects due to their impact on: physical processes (e.g. diffusion) electrical device performance yield Interest since the early days of semiconductors, but now there is physical insight No longer trial and error but ENGINEERING Origin of defects can be Grown-in (dislocations, vacancies, interstitials, swirls, COPs ) Process-induced (dislocations, precipitates, metals, twinning, ) Scaling is putting stringent requirements on the resolution of the analytical techniques

4 Introduction Downscaling Channel doping levels: UTSOI High-κ dielectrics FUSI/Metal gates carrier mobility control

5 Scaling Aspects: Mobility Control Use of high-k dielectrics Reduction of the low-field mobility due to remote phonon scattering Use of metal gates or FUSI Increase of the low-field mobility R.Chau et al., IEEE Electron Device Lett., 25, (2004) 408

6 Scaling Aspects: Mobility Boosting Use of high-k dielectrics + metal gate + strained Si n-mos S. Datta et al, IEDM 2003, p % increase for strained Si/SiGe

7 Strain Engineering Mobility improvement: Strain engineering Strained Si on SiGe virtual substrates Strain engineering: global or local Ge or GeOI substrates

8 Strain Engineering Approaches Channel Strain Global Strain CESL Local Strain SiGe SRB sgoi ssoi Silicides Metal Gate Stress Liner STI Thin Thick SRB ssdoi S/D Recessed Uniaxial or Biaxial

9 Goal LOW FIELD MOBILITY CONTROL ESSENTIAL FOR HIGH PERFORMANCE DEVICES SUBSTRATE ENGINEERING: SOI, SiGe, Ge, GeOI,.. STRAIN ENGINEERING 65 nm CMOS platform available. DEFECT ENGINEERING IN THESE MATERIALS

10 Stress & Dislocation Nucleation Stress leads to the nucleation of dislocations when higher than the yield stress of the material stress relaxation of precipitates isolation induced stress interfacial stress due to lattice mismatch

11 Stress and Defects Electrical activity of the dislocations? Role of metallic impurities Stress can beneficially be used for gettering e.g. Fe getting by SiO 2 precipitates enhanced Cu precipitation due to strain relaxation Isolation-induced stress dislocations are the source of pipeline defects leading to an increase of the off-state leakage current

12 Stress-induced dislocations J.W. Sleigh, C. Lin and G.J. Grula, IEEE Electron Device Lett., 20, (1999) 248

13 Strained Si Device architecture: Strained Si Poly Strained Si channel Salicide Source Drain Relaxed Si 1-x Ge x buffer layer Relaxed SiGe

14 Strain S/D versus Channel

15 Strain and Spacer Overlap P.R. Chidambaram et al., Digest 2004 Symp. on VLSI Technology, (2004) 48

16 Dislocation Nucleation Misfit Dislocations (MDs) and Threading dislocations (TDs) may be generated in hetero-epitaxial systems. Lattice mismatch: elastical relaxation by increasing the strain plastically by dislocation generation Critical film thickness (Van der Merwe, JAP, 34, 117, 1963) t c = (1 2ν ) a 4π (1 ν ) 2 f 0 [ln 1 ν + 1] 2πf 0 ν dislocation velocity f 0 spacing mismatch Δa/a a =lattice spacing Strain relaxed buffer layers: gradual Ge increase : thick layer composition (2-4 mm) thin layer approach ( nm) Strain relaxation can be facilitated by a C-rich layer

17 Strained Si on SRB Layers Strained Si on SRB Dislocation density Graded channel approach (1-2 μm layers) Heat control in thick layers IMEC approach Thin ( nm) approach with C-doped layer for strain relaxation Can also be used in a SEG scheme (e.g. SEG for n-mos & SiGe S/D for p-mos)

18 Strain Relaxed Buffer Layers Thin SRB s Standard buffers

19 Epilayer Structure 8 nm Strained Si SiGe (20%), variable thickness 70 nm SiGe (22%) 5 nm SiGe:C (22%) 140 nm SiGe (22%) Threading dislocations <= 3x10 6 cm -2 C layer: defect-rich x x x x x x x x x x x x x x x x x x x Misfit dislocations Total layer thickness: nm After defect etching: Etch Pit Density <= cm -2

20 TEM Analysis

21 p + /n and n + /p Diode Structure implanted n-well or p-well Dopant activation: by spike annealing ( C) Ni silicidation Junction depth of ~50 nm

22 Chemical Defect Etching Misfit Dislocations At the Si/SRB interface Dislocation pile-ups Individual threading dislocations

23 EMMI Analysis n + p junction Si reference: Breakdown edges Thin SRB: MD bottom interface No electrical activity of threading dislocations revealed Thick SRB: Uniform distributed spots

24 EMMI Analysis p + n junction Si reference: Breakdown edges Thin SRB: distributed spots Electrical activity of threading dislocations and dislocation pile-ups revealed. Thick SRB: Distributed spots of TDs

25 Electrical Activity Defects - EBIC W. Seifert, M. Kittler, J. Vanhellemont, E. Simoen and C. Claeys, Inst. Phys. Conf. Ser., 149, 319, 1996)

26 EBIC Strained Si/ Si 0.8 Ge 0.2 H.C. Huang et al., Appl. Phys. Lett., 84, 3316, kev and 0.3 na a) 300 K and b) 65 K. 20 kev and 1 na a) 300 K and b) 65 K.

27 Diode Current Behavior G. Eneman et al., Proc. First CADRES Workshop, Catania 2004, J. Phys. C: Solid State Physics, vol 17, pp. S (2005) p+/n junctions Si ref SSi, thin SSi, thick I (A/cm 2 ) Si ref SSi, thin SSi, thick V (V) I (A/cm 2 ) n+/p junctions V (V)

28 Bulk Leakage Current Density versus TD Density Reverse Current Density (A/cm 2 ) V R =-1V n + /p junctions p + /n junctions n + p: 10 pa/td at V r =-1 V and 25ºC Different behavior p + n D c at 270 nm Different electrical activity? Threading Dislocation Density (cm -2 )

29 Activation Energy Arrhenius plot of n + -p diode current density for thin and thick SRB s, measured at a reverse voltage of 0.1V. Activation anneal was 1000 C. J (A/cm 2 ) ~ exp(-0.996x) ~ exp(-0.590x) V=0.1V reverse SSi-Thick (350 nm) Bandgap E act : diffusion SSi-Thin (250 nm) Near midgap E act : defects SSi, thin SSi. thick /kT (ev -1 )

30 Generation Lifetime versus TD Density τ -1 (s -1 ) Experimental τ -1 Linear Fit 1 = σ ν n τ g n t D N For n D 10 6 cm -1 σ n : cm 2 TD Threading Dislocation Density (cm -2 )

31 Generation Lifetime Effective generation lifetime at 0 V versus spike anneal temperature for p + -n junctions in a thick (350 nm) and a thin (250 nm) SRB 10-5 p + /n junctions at 0 V Si references Effective Generation Lifetime (s) thick SRB thin SRB Spike Anneal Temperature ( o C)

32 Discussion Higher thermal budget: Reduce leakage current Dopant diffusion: lower E Anneal defects SiGe with TDs Temperature increase: Wider W More TDs in depletion region J reverse ~ W n D N TD W: depletion width n D : number of traps per length dislocation N TD : threading dislocation density

33 Location C-rich Layer & Defect Density Impact of the position of the C-rich layer and the defect density on the reverse current density of n + -p diodes J R (A/cm 2 ) n+/p junctions C at 100nm C at 200nm C at 270nm Si ref (a) V R (V) J R (A/cm 2 ) ~10 9 defects ~10 7 defects ~10 5 defects Si ref (b) n+/p junctions V (V) R

34 Impact Type of Defect TDs increase the trap-assisted tunneling at RT, while above 100ºC the diffusion current dominates over the TD generation current. The C-rich layer defects introduce relaxation of the SiGe substrate. Moving the layer closer to the junction increase the generation current. Residual implantation damage makes the junction leakage current sensitive to the anneal temperature. For junction inside the SiGe layer this component is negligible compared to the leakage caused by other defects.

35 Low Frequency Noise E. Simoen et al., ULSI Process integration IV, Quebec, May 2005 Normalised noise spectral density (1/Hz) μmx5 μm n-mosfet TD no TD V =0.1 V DS thin SRB Drain Current (A) Input-referred Noise Spectral Density (V 2 /Hz) μmx1 μm n-mosfets V DS =0.1 V Si reference f=10 Hz SRB wafer Gate Voltage Overdrive (V)

36 Stress and Oxide Defects A. Stesmans et al., APL 82 (2003) 3038

37 Process Induced Stressors Tensile or compressive Geometry/design of devices has an impact Stress parallel or perpendicular to the current flow Uniaxial or biaxial Variety of stressors SiGe recessed source/drain Hybrid orientation techniques (HOT) Stress memorization effects (e.g. disposable stress liners) Contact etch stop layer (CESL)

38 Processing-Induced Stressors A. Collaert et al., IEEE TED, 20 (2005) 820 I off V gs =-0.3 V V ds =1 V -30% 20% reference tensile compressive I on V gs =0.7 V (a) I off V gs =0.2 V reference tensile compressive 10% V ds =-1 V I on V gs =-0.8 V (b) I on I off behavior of (a) nmos devices and (b) pmos devices; W = 35 nm; the strained layers obtained by SiN CESL have an intrinsic stress of 800 Mpa

39 LF Noise and Stressors G. Giusi, E. Simoen, G. Eneman, P. Verheyen, F. Crupi, K. De Meyer, C. Claeys and C. Ciofi, accepted for EDL, 2006 (in press) 10-8 A S ID / I 2 D ((µm)2 /Hz) 10-9 Reference #1 Reference #2 SiGe #1 SiGe #2 Cap #1 Cap #2 SiGe+Cap #1 SiGe+Cap #2 f = 10 Hz W = 10 µm L = 1 µm V 10 0 GS -V T (V)

40 Strain Engineering Critical factors [Ge] and the layer thickness Ge can outdiffuse during processing Thermal stability of the stress? Different behavior n- and p-channels Mobility enhancement is f(channel doping) Narrow width effects on strain behavior Defect generation Impact strain on noise performance STRAIN ENGINEERING HAS SUCCESSFULLY BEEN DEMONSTRATED BUT REMAINS COMPLEX

41 1947: 1 st transistor: J. Bardeen, W. Brattain,W. Shockley Ge Device

42 Defects in Ge Vanhellemont et al., in Defects and Diffusion in Semiconductors An Annual Retrospective VII, Trans. Tech. Publ. Inc., 230, 149 (2004) Defect in as-grown Ge (row of dislocations) * High-res. Ge, H-atm. crystal growth * 30, 60 and 90 disl. * Disl. sink for [V] Tilted 35 away [001] pulling axis No V 2 -H complexes

43 K.K. Bourdelle, APL, 86 (2005) Defects in GeOI {311} defect

44 Control n-type dopants in Ge Rs (Ohm/sq) kev P in Ge; no SiO 2 cap 0 1E+13 1E+14 1E+15 1E+16 Dose (at/cm 2 ) P, 500C-60s P, 500C-1s P, 600C-1s Above SS implant 15 kev 5x10 15 cm -2 P, 60 s at 50 C

45 Noise in GeOI Transistors Ge devices have a higher noise than their silicon counterparts, due to the quality of the interfacial layer.

46 GaAs on Si Fitzgerald et al., IEDM Techn. Digest, (2005) 519 TEM image of a GaAs on Si structure with a graded SiGe buffer to reduce the threading dislocations and a top Si cap

47 Conclusions Defect analysis requires a combination of state of the art characterization tools for defect detection and identification Strain engineering is a viable approach for sub 45 nm technology nodes Alternative substrates are strongly gaining interest and will know a real breakthrough Local strain engineering has a strong potential Defect engineering remains of crucial importance New physical models will be needed (e.g. LF noise)

48 Acknowledgement The author wants to acknowledge the discussions with and the use of co-authored results of the members of the IMEC highmobility and Ge teams. Special thanks to M. Bargallo, F. Crupi, M. Caymax, E. Delhougne, G. Giusi, R. Loo, R. Rooyackers, A. Satta, P. Srinivasan, J. Vanhellemont and P. Verheyen.

49

Processing and Defect Control in Advanced Ge Technologies

Processing and Defect Control in Advanced Ge Technologies Processing and Defect Control in Advanced Ge Technologies C. Claeys* IMEC, Kapeldreef 75, 3001 Leuven, Belgium *Also E.E. Dept, KU Leuven, Kasteelpark Arenberg 10, 3001 Leuven, Belgium Outline Introduction/Motivation

More information

6.774 Fall 2006: Global and Local Stress to Enhance CMOS Performance

6.774 Fall 2006: Global and Local Stress to Enhance CMOS Performance 6.774 Fall 2006: Global and Local Stress to Enhance CMOS Performance techniques have been developed to strain the Si in the MOSFET channel, in order to enhance carrier mobility and current drive some of

More information

Strained Silicon-On-Insulator Fabrication and Characterization

Strained Silicon-On-Insulator Fabrication and Characterization 10.1149/1.2728880, The Electrochemical Society Strained Silicon-On-Insulator Fabrication and Characterization M. Reiche a, C. Himcinschi a, U. Gösele a, S. Christiansen a, S. Mantl b, D. Buca b, Q.T. Zhao

More information

Strain Engineering for Performance Enhancement in Advanced Nano Scaled SOI-MOSFETs

Strain Engineering for Performance Enhancement in Advanced Nano Scaled SOI-MOSFETs Strain Engineering for Performance Enhancement in Advanced Nano Scaled SOI-MOSFETs S. Flachowsky a), R. Illgen a), T. Herrmann a), A. Wei b), J. Höntschel b), M. Horstmann b), W. Klix a), and R. Stenzel

More information

MOS Front-End. Field effect transistor

MOS Front-End. Field effect transistor MOS Front-End Back-end Transistor Contact Front-end p-well STI n-well Front-end-of-line includes substrate, isolation, wells, transistor, silicide Field effect transistor MOSFET: Metal-Oxide-Semiconductor

More information

ONE of the concerns with respect to the integration

ONE of the concerns with respect to the integration IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 63, NO. 8, AUGUST 2016 3069 Electrical Effects of a Single Extended Defect in MOSFETs Kai Ni, Student Member, IEEE, Geert Eneman, Eddy Simoen, Member, IEEE,

More information

KEYWORDS: MOSFET, reverse short-channel effect, transient enhanced diffusion, arsenic, phosphorus, source, drain, ion implantation

KEYWORDS: MOSFET, reverse short-channel effect, transient enhanced diffusion, arsenic, phosphorus, source, drain, ion implantation Jpn. J. Appl. Phys. Vol. 42 (2003) pp. 2654 2659 Part 1, No. 5A, May 2003 #2003 The Japan Society of Applied Physics -Assisted Low-Energy Arsenic Implantation Technology for N-Channel Metal Oxide Semiconductor

More information

Performance Predictions for Scaled Process-induced Strained-Si CMOS

Performance Predictions for Scaled Process-induced Strained-Si CMOS Performance Predictions for Scaled Process-induced Strained-Si CMOS G Ranganayakulu and C K Maiti Department of Electronics and ECE, IIT Kharagpur, Kharagpur 721302, India Abstract: Device and circuit

More information

Design Consideration and Effect of Parameter Variation on sub-40nm Bulk MOSFET using TCAD Tool

Design Consideration and Effect of Parameter Variation on sub-40nm Bulk MOSFET using TCAD Tool International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 4, Number 3 (2011), pp. 267-274 International Research Publication House http://www.irphouse.com Design Consideration

More information

Tri-Gate Transistor Architecture with High-k Gate Dielectrics, Metal Gates and Strain Engineering

Tri-Gate Transistor Architecture with High-k Gate Dielectrics, Metal Gates and Strain Engineering Tri-Gate Transistor Architecture with High-k Gate Dielectrics, Metal Gates and Strain Engineering Jack Kavalieros, Brian Doyle, Suman Datta, Gilbert Dewey, Mark Doczy, Ben Jin, Dan Lionberger, Matthew

More information

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI CMOS Technology Flow varies with process types & company N-Well CMOS Twin-Well CMOS STI Start with substrate selection Type: n or p Doping level, resistivity Orientation, 100, or 101, etc Other parameters

More information

Layout-related stress effects on TID-induced leakage current

Layout-related stress effects on TID-induced leakage current Layout-related stress effects on TID-induced leakage current Nadia Rezzak, R. D. Schrimpf, M. L. Alles, En Xia Zhang, Daniel M. Fleetwood, Yanfeng Albert Li Radiation Effects Group Vanderbilt University,

More information

Contact Resistance Reduction using Advanced Implant and Anneal Techniques for 7nm Node and Beyond

Contact Resistance Reduction using Advanced Implant and Anneal Techniques for 7nm Node and Beyond Contact Resistance Reduction using Advanced Implant and Anneal Techniques for 7nm Node and Beyond Fareen Adeni Khaja Global Product Manager, Front End Products Transistor and Interconnect Group NCCAVS

More information

Electrochemical Oxidation, Threading Dislocations and the Reliability of GaN HEMTs

Electrochemical Oxidation, Threading Dislocations and the Reliability of GaN HEMTs Electrochemical Oxidation, Threading Dislocations and the Reliability of GaN HEMTs Carl V. Thompson 1,3 Dept. of Materials Science and Engineering, M.I.T. Primary collaborators: Wardhana A. Sasangka 1,

More information

Microelectronics Devices

Microelectronics Devices Microelectronics Devices Yao-Joe Yang 1 Outline Basic semiconductor physics Semiconductor devices Resistors Capacitors P-N diodes BJT/MOSFET 2 Type of Solid Materials Solid materials may be classified

More information

Epitaxial Growth of Low Defect SiGe Buffer Layers for Integration of New Materials on 300 mm Silicon Wafers. Semicon Europa 2017

Epitaxial Growth of Low Defect SiGe Buffer Layers for Integration of New Materials on 300 mm Silicon Wafers. Semicon Europa 2017 Layers for Integration of New Materials on 300 mm Silicon Wafers Peter Storck Semicon Europa 2017 Integration of new Materials is Part of the Logic Roadmap CMOS logic evolves from planar to FinFET and

More information

High Temperature Oxygen Out-Diffusion from the Interfacial SiOx Bond Layer in Direct Silicon Bonded (DSB) Substrates

High Temperature Oxygen Out-Diffusion from the Interfacial SiOx Bond Layer in Direct Silicon Bonded (DSB) Substrates High Temperature Oxygen Out-Diffusion from the Interfacial SiOx Bond Layer in Direct Silicon Bonded (DSB) Substrates Jim Sullivan, Harry R. Kirk, Sien Kang, Philip J. Ong, and Francois J. Henley Silicon

More information

Mark T. Bohr Intel Senior Fellow, Technology and Manufacturing Group Director, Process Architecture and Integration INTEL CORPORATION

Mark T. Bohr Intel Senior Fellow, Technology and Manufacturing Group Director, Process Architecture and Integration INTEL CORPORATION Mark T. Bohr Intel Senior Fellow, Technology and Manufacturing Group Director, Process Architecture and Integration INTEL CORPORATION Patents» 6762464, N-P butting connections on SOI substrates, 7/13/2004.»

More information

Surface Passivation and Characterization of Germanium Channel Field Effect Transistor Together with Source/Drain Engineering

Surface Passivation and Characterization of Germanium Channel Field Effect Transistor Together with Source/Drain Engineering Surface Passivation and Characterization of Germanium Channel Field Effect Transistor Together with Source/Drain Engineering Gaurav Thareja Nishi Group, Electrical Engineering Stanford University ERC Tele-seminar

More information

Complementary Metal Oxide Semiconductor (CMOS)

Complementary Metal Oxide Semiconductor (CMOS) Technische Universität Graz Institute of Solid State Physics Complementary Metal Oxide Semiconductor (CMOS) Franssila: Chapters 26,28 Technische Universität Graz Institute of Solid State Physics Complementary

More information

THERMAL OXIDATION - Chapter 6 Basic Concepts

THERMAL OXIDATION - Chapter 6 Basic Concepts THERMAL OXIDATION - Chapter 6 Basic Concepts SiO 2 and the Si/SiO 2 interface are the principal reasons for silicon s dominance in the IC industry. Oxide Thickness µm 0. µm 0 nm nm Thermally Grown Oxides

More information

ECE520 VLSI Design. Lecture 7: CMOS Manufacturing Process. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 7: CMOS Manufacturing Process. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 7: CMOS Manufacturing Process Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Review of Last

More information

3.46 OPTICAL AND OPTOELECTRONIC MATERIALS

3.46 OPTICAL AND OPTOELECTRONIC MATERIALS Badgap Engineering: Precise Control of Emission Wavelength Wavelength Division Multiplexing Fiber Transmission Window Optical Amplification Spectrum Design and Fabrication of emitters and detectors Composition

More information

Process Integration. MEMS Release Techniques Sacrificial Layer Removal Substrate Undercut

Process Integration. MEMS Release Techniques Sacrificial Layer Removal Substrate Undercut Process Integration Self-aligned Techniques LOCOS- self-aligned channel stop Self-aligned Source/Drain Lightly Doped Drain (LDD) Self-aligned silicide (SALICIDE) Self-aligned oxide gap MEMS Release Techniques

More information

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation. Figure 2.1 (p. 58) Basic fabrication steps in the silicon planar process: (a) oxide formation, (b) selective oxide removal, (c) deposition of dopant atoms on wafer, (d) diffusion of dopant atoms into exposed

More information

Laser Spike Annealing for sub-20nm Logic Devices

Laser Spike Annealing for sub-20nm Logic Devices Laser Spike Annealing for sub-20nm Logic Devices Jeff Hebb, Ph.D. July 10, 2014 1 NCCAVS Junction Technology Group Semicon West Meeting July 10, 2014 Outline Introduction Pattern Loading Effects LSA Applications

More information

Growth and characterization of tensile strained Ge on Ge 1-x Sn x buffers for novel channel layers

Growth and characterization of tensile strained Ge on Ge 1-x Sn x buffers for novel channel layers The 5th International Symposium on Advanced Science and Technology of Silicon Materials (JSPS Si Symposium), Nov. 10-14, 2008, Kona, Hawaii, USA Growth and characterization of tensile strained Ge on Ge

More information

Schottky-Barrier-Height Modulation of Ni Silicide/Si Contacts by Insertion of Thin Er or Pt Layers

Schottky-Barrier-Height Modulation of Ni Silicide/Si Contacts by Insertion of Thin Er or Pt Layers Schottky-Barrier-Height Modulation of Ni Silicide/Si Contacts by Insertion of Thin Er or Pt Layers Yoshihisa Ohishi 1, Kohei Noguchi 1, Kuniyuki Kakushima 2, Parhat Ahmet 1, Kazuo Tsutsui 2, Nobuyuki Sugii

More information

MOS Gate Dielectrics. Outline

MOS Gate Dielectrics. Outline MOS Gate Dielectrics Outline Scaling issues Technology Reliability of SiO 2 Nitrided SiO 2 High k dielectrics 42 Incorporation of N or F at the Si/SiO 2 Interface Incorporating nitrogen or fluorine instead

More information

The dislocation generation in the device process fabrication

The dislocation generation in the device process fabrication Solid State Phenomena Vols. 95-96 (2004) pp 439-446 Online available since 2003/Sep/30 at www.scientific.net (2004) Trans Tech Publications, Switzerland doi:10.4028/www.scientific.net/ssp.95-96.439 Journal

More information

Problem 1 Lab Questions ( 20 points total)

Problem 1 Lab Questions ( 20 points total) Problem 1 Lab Questions ( 20 points total) (a) (3 points ) In our EE143 lab, we use Phosphorus for the source and drain diffusion. However, most advanced processes use Arsenic. What is the advantage of

More information

Prospect of Si Semiconductor Devices in Nanometer Era

Prospect of Si Semiconductor Devices in Nanometer Era Prospect of Si Semiconductor Devices in Nanometer Era 2 Prospect of Si Semiconductor Devices in Nanometer Era Shinichiro Kimura, Dr. Eng. Digh Hisamoto, Dr. Eng. Nobuyuki Sugii, Dr. Eng. OVERVIEW: Silicon

More information

Process Integration. NMOS Generic NMOS Process Flow. CMOS - The MOSIS Process Flow

Process Integration. NMOS Generic NMOS Process Flow. CMOS - The MOSIS Process Flow Process Integration Self-aligned Techniques LOCOS- self-aligned channel stop Self-aligned Source/Drain Lightly Doped Drain (LDD) Self-aligned silicide (SALICIDE) Self-aligned oxide gap MEMS Release Techniques

More information

EE THERMAL OXIDATION - Chapter 6. Basic Concepts

EE THERMAL OXIDATION - Chapter 6. Basic Concepts EE 22 FALL 999-00 THERMAL OXIDATION - Chapter 6 Basic Concepts SiO 2 and the Si/SiO 2 interface are the principal reasons for silicon s dominance in the IC industry. SiO 2 : Easily selectively etched using

More information

Quarterly Report EPRI Agreement W

Quarterly Report EPRI Agreement W Quarterly Report EPRI Agreement W08069-07 PI: S.J. Pearton, University of Florida (Co-investigators F. Ren, C.R. Abernathy, R.K. Singh, P.H. Holloway, T.J. Anderson, M. Berding, A. Sher, S. Krishnimurthy,

More information

EE 143 FINAL EXAM NAME C. Nguyen May 10, Signature:

EE 143 FINAL EXAM NAME C. Nguyen May 10, Signature: INSTRUCTIONS Read all of the instructions and all of the questions before beginning the exam. There are 5 problems on this Final Exam, totaling 143 points. The tentative credit for each part is given to

More information

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1 Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1 LECTURE 030 INTEGRATED CIRCUIT TECHNOLOGY - I (References [7,8]) Objective The objective of this presentation is: 1.) Illustrate integrated

More information

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook:

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook: HOMEWORK 4 and 5 March 15, 2009 Homework is due on Monday March 30, 2009 in Class. Chapter 7 Answer the following questions from the Course Textbook: 7.2, 7.3, 7.4, 7.5, 7.6*, 7.7, 7.9*, 7.10*, 7.16, 7.17*,

More information

Crystalline Silicon Solar Cells With Two Different Metals. Toshiyuki Sameshima*, Kazuya Kogure, and Masahiko Hasumi

Crystalline Silicon Solar Cells With Two Different Metals. Toshiyuki Sameshima*, Kazuya Kogure, and Masahiko Hasumi Crystalline Silicon Solar Cells With Two Different Metals Toshiyuki Sameshima*, Kazuya Kogure, and Masahiko Hasumi Tokyo University of Agriculture and Technology, 2-24-16 Naka-cho, Koganei, Tokyo 184-8588,

More information

Strain for CMOS performance Improvement

Strain for CMOS performance Improvement IBM Corporation Strain for CMOS performance Improvement +Victor Chan, +Ken Rim, #Meikei Ieong, +Sam Yang, +Rajeev Malik, Young Way Teh, #Min Yang, #Qiqing (Christine) Ouyang +IBM Systems & Technology Group,

More information

Feature-level Compensation & Control. Workshop April 15, 2004 A UC Discovery Project

Feature-level Compensation & Control. Workshop April 15, 2004 A UC Discovery Project Feature-level Compensation & Control Workshop April 15, 2004 A UC Discovery Project 2 Diffusion in Silicon Germanium Alloys UC-DISCOVERY/ Workshop April 15, 2004 Hughes Silvestri, 1,2 Hartmut Bracht, 3

More information

VLSI Systems and Computer Architecture Lab

VLSI Systems and Computer Architecture Lab ΚΥΚΛΩΜΑΤΑ VLSI Πανεπιστήμιο Ιωαννίνων CMOS Technology Τμήμα Μηχανικών Η/Υ και Πληροφορικής 1 From the book: An Introduction ti to VLSI Process By: W. Maly ΚΥΚΛΩΜΑΤΑ VLSI Διάρθρωση 1. N well CMOS 2. Active

More information

A Proposal of Schottky Barrier Height Tuning Method with Interface controlled Ni/Si stacked Silicidation Process

A Proposal of Schottky Barrier Height Tuning Method with Interface controlled Ni/Si stacked Silicidation Process 222 nd ECS Meeting A Proposal of Schottky arrier Height Tuning Method with Interface controlled Ni/Si stacked Silicidation Process Y. Tamura 1, R. Yoshihara 1, K. Kakushima 2, P. Ahmet 1, Y. Kataoka 2,

More information

ELEC 7364 Lecture Notes Summer Si Oxidation. by STELLA W. PANG. from The University of Michigan, Ann Arbor, MI, USA

ELEC 7364 Lecture Notes Summer Si Oxidation. by STELLA W. PANG. from The University of Michigan, Ann Arbor, MI, USA ELEC 7364 Lecture Notes Summer 2008 Si Oxidation by STELLA W. PANG from The University of Michigan, Ann Arbor, MI, USA Visiting Professor at The University of Hong Kong The University of Michigan Visiting

More information

Heterostructures of Oxides and Semiconductors - Growth and Structural Studies

Heterostructures of Oxides and Semiconductors - Growth and Structural Studies Heterostructures of Oxides and Semiconductors - Growth and Structural Studies Beamline 17B1 W20 X-ray Scattering beamline Authors M. Hong and J. R. Kwo National Tsing Hua University, Hsinchu, Taiwan H.

More information

1. Introduction. What is implantation? Advantages

1. Introduction. What is implantation? Advantages Ion implantation Contents 1. Introduction 2. Ion range 3. implantation profiles 4. ion channeling 5. ion implantation-induced damage 6. annealing behavior of the damage 7. process consideration 8. comparison

More information

Isolation Technology. Dr. Lynn Fuller

Isolation Technology. Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Isolation Technology Dr. Lynn Fuller Motorola Professor 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Fax (585) 475-5041

More information

EPITAXY extended single-crystal film formation on top of a crystalline substrate. Homoepitaxy (Si on Si) Heteroepitaxy (AlAs on GaAs)

EPITAXY extended single-crystal film formation on top of a crystalline substrate. Homoepitaxy (Si on Si) Heteroepitaxy (AlAs on GaAs) extended single-crystal film formation on top of a crystalline substrate Homoepitaxy (Si on Si) Heteroepitaxy (AlAs on GaAs) optoelectronic devices (GaInN) high-frequency wireless communication devices

More information

Doping and Oxidation

Doping and Oxidation Technische Universität Graz Institute of Solid State Physics Doping and Oxidation Franssila: Chapters 13,14, 15 Peter Hadley Technische Universität Graz Institute of Solid State Physics Doping Add donors

More information

Strain Stability and Carrier Mobility Enhancement in Strained Si on Relaxed SiGe-on-Insulator

Strain Stability and Carrier Mobility Enhancement in Strained Si on Relaxed SiGe-on-Insulator H104 0013-4651/2009/157 1 /H104/5/$28.00 The Electrochemical Society Strain Stability and Carrier Mobility Enhancement in Strained Si on Relaxed SiGe-on-Insulator Xiaobo Ma, a,b Weili Liu, a Xuyan Liu,

More information

Characteristics of HfO 2 pmosfet with Ultrashallow Junction Prepared by Plasma Doping and Laser Annealing

Characteristics of HfO 2 pmosfet with Ultrashallow Junction Prepared by Plasma Doping and Laser Annealing Characteristics of HfO 2 pmosfet with Ultrashallow Junction Prepared by Plasma Doping and Laser Annealing Sungkweon Baek, Sungho Heo, and Hyunsang Hwang Dept. of Materials Science and Engineering Kwangju

More information

FABRICATION of MOSFETs

FABRICATION of MOSFETs FABRICATION of MOSFETs CMOS fabrication sequence -p-type silicon substrate wafer -creation of n-well regions for pmos transistors, -impurity implantation into the substrate. -thick oxide is grown in the

More information

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process Chapter 3 A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process 3.1 Introduction Low-temperature poly-si (LTPS) TFTs

More information

Amorphous and Polycrystalline Thin-Film Transistors

Amorphous and Polycrystalline Thin-Film Transistors Part I Amorphous and Polycrystalline Thin-Film Transistors HYBRID AMORPHOUS AND POLYCRYSTALLINE SILICON DEVICES FOR LARGE-AREA ELECTRONICS P. Mei, J. B. Boyce, D. K. Fork, G. Anderson, J. Ho, J. Lu, Xerox

More information

Impacts of Back Grind Damage on Si Wafer Thinning for 3D Integration

Impacts of Back Grind Damage on Si Wafer Thinning for 3D Integration Impacts of Back Grind Damage on Si Wafer Thinning for 3D Integration Tomoji Nakamura, Yoriko Mizushima, Young-suk Kim, Akira Uedono, and Takayuki Ohba Fujitsu Laboratories Ltd., University of Tsukuba Tokyo

More information

Characterization and Improvement of Reverse Leakage Current of Shallow Silicided Junction for Sub-100 nm CMOS Technology Utilizing N 2 PAI

Characterization and Improvement of Reverse Leakage Current of Shallow Silicided Junction for Sub-100 nm CMOS Technology Utilizing N 2 PAI Journal of the Korean Physical Society, Vol. 49, December 2006, pp. S795 S799 Characterization and Improvement of Reverse Leakage Current of Shallow Silicided Junction for Sub-100 nm CMOS Technology Utilizing

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time IC Fabrication Technology Crystal Preparation

More information

VLSI Digital Systems Design

VLSI Digital Systems Design VLSI Digital Systems Design CMOS Processing cmpe222_03process_ppt.ppt 1 Si Purification Chemical purification of Si Zone refined Induction furnace Si ingot melted in localized zone Molten zone moved from

More information

Fig.1: Comparison of various implant species energy and dose on surface amorphous layer depth.

Fig.1: Comparison of various implant species energy and dose on surface amorphous layer depth. Liquid Phase Epitaxy (LPE) Formation of Localized High Quality and Mobility Ge & SiGe by High Dose Ge-Implantation with Laser Melt Annealing for 10nm and 7nm Node CMOS Technology John Borland 1,2, Michiro

More information

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica Microelettronica Planar Technology for Silicon Integrated Circuits Fabrication 26/02/2017 A. Neviani - Microelettronica Introduction Simplified crosssection of an nmosfet and a pmosfet Simplified crosssection

More information

Development of High Voltage Silicon Carbide MOSFET Devices in KERI

Development of High Voltage Silicon Carbide MOSFET Devices in KERI Development of High Voltage Silicon Carbide MOSFET Devices in KERI 2014. 06. Kim, Sang Cheol (sckim@keri.re.kr) Power Semiconductor Device Research Center Korea Electrotechnology Research Institute Contents

More information

EE 330 Lecture 9. IC Fabrication Technology Part 2

EE 330 Lecture 9. IC Fabrication Technology Part 2 EE 330 Lecture 9 IC Fabrication Technology Part 2 Quiz 8 A 2m silicon crystal is cut into wafers using a wire saw. If the wire diameter is 220um and the wafer thickness is 350um, how many wafers will this

More information

Challenges of Silicon Carbide MOS Devices

Challenges of Silicon Carbide MOS Devices Indo German Winter Academy 2012 Challenges of Silicon Carbide MOS Devices Arjun Bhagoji IIT Madras Tutor: Prof. H. Ryssel 12/17/2012 1 Outline What is Silicon Carbide (SiC)? Why Silicon Carbide? Applications

More information

Make sure the exam paper has 9 pages total (including cover page)

Make sure the exam paper has 9 pages total (including cover page) UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Fall 2010 EE143 Midterm Exam #2 Family Name First name SID Signature Solution Make sure the exam

More information

Materials of Engineering ENGR 151 ELECTRCIAL PROPERTIES

Materials of Engineering ENGR 151 ELECTRCIAL PROPERTIES Materials of Engineering ENGR 151 ELECTRCIAL PROPERTIES ELECTRON ENERGY BAND STRUCTURES Atomic states split to form energy bands Adapted from Fig. 18.2, Callister & Rethwisch 9e. 2 BAND STRUCTURE REPRESENTATION

More information

MOLYBDENUM AS A GATE ELECTRODE FOR DEEP SUB-MICRON CMOS TECHNOLOGY

MOLYBDENUM AS A GATE ELECTRODE FOR DEEP SUB-MICRON CMOS TECHNOLOGY Mat. Res. Soc. Symp. Vol. 611 2000 Materials Research Society MOLYBDENUM AS A GATE ELECTRODE FOR DEEP SUB-MICRON CMOS TECHNOLOGY Pushkar Ranade, Yee-Chia Yeo, Qiang Lu, Hideki Takeuchi, Tsu-Jae King, Chenming

More information

Chapter 2 Crystal Growth and Wafer Preparation

Chapter 2 Crystal Growth and Wafer Preparation Chapter 2 Crystal Growth and Wafer Preparation Professor Paul K. Chu Advantages of Si over Ge Si has a larger bandgap (1.1 ev for Si versus 0.66 ev for Ge) Si devices can operate at a higher temperature

More information

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University 2014 Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University Page1 Syllabus UNIT 1 Introduction to VLSI Technology: Classification of ICs, Scale of integration,

More information

Low D it High-k/In 0.53 Ga 0.47 As Gate Stack with CET down to 0.73 nm and Thermally Stable Silicide Contact by Suppression of Interfacial Reaction

Low D it High-k/In 0.53 Ga 0.47 As Gate Stack with CET down to 0.73 nm and Thermally Stable Silicide Contact by Suppression of Interfacial Reaction IEDM 2013 Dec 9 th, 2013 Low D it High-k/In 0.53 Ga 0.47 As Gate Stack with CET down to 0.73 nm and Thermally Stable Silicide Contact by Suppression of Interfacial Reaction D. Hassan Zadeh, H. Oomine,

More information

MOSFET. n+ poly Si. p- substrate

MOSFET. n+ poly Si. p- substrate EE143 Midterm #1 Solutions Fall 2005 (maximum score is 97) Problem 1 Processing Modules and Simple Process Sequence (25 points total) The following schematic cross-section shows a MOSFET together with

More information

Wet Processing Techniques for Achieving Ultra-shallow Junctions in Future CMOS Devices

Wet Processing Techniques for Achieving Ultra-shallow Junctions in Future CMOS Devices Accelerating the next technology revolution Wet Processing Techniques for Achieving Ultra-shallow Junctions in Future CMOS Devices Joel Barnett, Richard Hill, Chris Hobbs and Prashant Majhi 07-October-2010

More information

Reliability Challenges for 45nm and Beyond. J. W. McPherson, PhD, TI Senior Fellow Texas Instruments, Inc. Dallas, Texas 75243

Reliability Challenges for 45nm and Beyond. J. W. McPherson, PhD, TI Senior Fellow Texas Instruments, Inc. Dallas, Texas 75243 Reliability Challenges for 45nm and Beyond J. W. McPherson, PhD, TI Senior Fellow Texas Instruments, Inc. Dallas, Texas 75243 DAC 2006 1 2 Outline Transistor Performance with Scaling Gate Dielectric Scaling

More information

Influence of thermal processing on the electrical characteristics of MOS capacitors on strained-silicon substrates

Influence of thermal processing on the electrical characteristics of MOS capacitors on strained-silicon substrates Influence of thermal processing on the electrical characteristics of MOS capacitors on strained-silicon substrates N. Kelaidis; D. Skarlatos; V. Ioannou-Sougleridis; C. Tsamis; Ph. Komninou; B. Kellerman

More information

Progress in Monolithic III-V/Si and towards processing III-V Devices in Silicon Manufacturing. E.A. (Gene) Fitzgerald

Progress in Monolithic III-V/Si and towards processing III-V Devices in Silicon Manufacturing. E.A. (Gene) Fitzgerald Progress in Monolithic III-V/Si and towards processing III-V Devices in Silicon Manufacturing E.A. (Gene) Fitzgerald M.J. Mori, C.L.Dohrman, K. Chilukuri MIT Cambridge, MA USA Funding: MARCO IFC and Army

More information

CMOS Processing Technology

CMOS Processing Technology CHAPTER 2 CMOS Processing Technology Outline 2 1. CMOS Technologies 2. Layout Design Rules 3. CMOS Process Enhancements 4. Technology-related CAD Issues 5. Manufacturing Issues CMOS Technologies 3 n-well

More information

I. GaAs Material Properties

I. GaAs Material Properties I. GaAs Material Properties S. Kayali GaAs is a III V compound semiconductor composed of the element gallium (Ga) from column III and the element arsenic (As) from column V of the periodic table of the

More information

Chapter 4. UEEP2613 Microelectronic Fabrication. Oxidation

Chapter 4. UEEP2613 Microelectronic Fabrication. Oxidation Chapter 4 UEEP2613 Microelectronic Fabrication Oxidation Prepared by Dr. Lim Soo King 24 Jun 2012 Chapter 4...113 Oxidation...113 4.0 Introduction... 113 4.1 Chemistry of Silicon Dioxide Formation... 115

More information

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) contents UNIT I INTRODUCTION: Introduction to IC Technology MOS, PMOS, NMOS, CMOS & BiCMOS technologies. BASIC ELECTRICAL PROPERTIES : Basic Electrical

More information

CMOS Processing Technology

CMOS Processing Technology CHAPTER 2 CMOS Processing Technology Outline 2 1. CMOS Technologies 2. Layout Design Rules 3. CMOS Process Enhancements 4. Technology-related CAD Issues 5. Manufacturing Issues CMOS Technologies 3 n-well

More information

Chapter 3 CMOS processing technology

Chapter 3 CMOS processing technology Chapter 3 CMOS processing technology (How to make a CMOS?) Si + impurity acceptors(p-type) donors (n-type) p-type + n-type => pn junction (I-V) 3.1.1 (Wafer) Wafer = A disk of silicon (0.25 mm - 1 mm thick),

More information

EE-612: Lecture 28: Overview of SOI Technology

EE-612: Lecture 28: Overview of SOI Technology EE-612: Lecture 28: Overview of SOI Technology Mark Lundstrom Electrical and Computer Engineering Purdue University West Lafayette, IN USA Fall 2006 NCN www.nanohub.org Lundstrom EE-612 F06 1 outline 1)

More information

A New High-k Transistor Technology Implemented in Accordance with the 55nm Design Rule Process

A New High-k Transistor Technology Implemented in Accordance with the 55nm Design Rule Process A New High-k Transistor Technology Implemented in Accordance with the 55nm Design Rule Process FUKASE Tadashi, NAKAHARA Yasushi, TAKAHASHI Toshifumi, IMAI Kiyotaka Abstract NEC Electronics has developed

More information

Department of Electrical Engineering. Jungli, Taiwan

Department of Electrical Engineering. Jungli, Taiwan Chapter 3 Fabrication of CMOS Integrated Circuits Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Background Outline The CMOS Process Flow Design Rules Latchup

More information

Gallium Nitride Based HEMT Devices

Gallium Nitride Based HEMT Devices Gallium Nitride Based HEMT Devices Keyan Zang SMA5111/6.772 Compound Semiconductor Materials and Devices May 14 th, 2003 Courtesy of Keyan Zang. Used with permission. Outline Introduction Device Structure

More information

AlGaN/GaN based HEMT Device for High Power Applications

AlGaN/GaN based HEMT Device for High Power Applications AlGaN/GaN based HEMT Device for High Power Applications 1 Kajal Jain, 2 Shivani Saxena 1 M.tech VLSI, Banasthali Vidyapith 2 Assistant Professor, Department of Electronics, Banasthali Vidyapith, Banasthali,

More information

High Performance High-K + Metal Gate Strain Enhanced Transistors on (110) Silicon

High Performance High-K + Metal Gate Strain Enhanced Transistors on (110) Silicon High Performance High-K + Metal Gate Strain Enhanced Transistors on (110) Silicon Paul Packan, S. Cea*, H. Deshpande, T. Ghani, M. Giles*, O. Golonzka, M. Hattendorf, R. Kotlyar*, K. Kuhn, A. Murthy, P.

More information

Lecture 22: Integrated circuit fabrication

Lecture 22: Integrated circuit fabrication Lecture 22: Integrated circuit fabrication Contents 1 Introduction 1 2 Layering 4 3 Patterning 7 4 Doping 8 4.1 Thermal diffusion......................... 10 4.2 Ion implantation.........................

More information

Effect of grain size on the mobility and transfer characteristics of polysilicon thin-film transistors

Effect of grain size on the mobility and transfer characteristics of polysilicon thin-film transistors Indian Journal of Pure & Applied Physics Vol. 42, July 2004, pp 528-532 Effect of grain size on the mobility and transfer characteristics of polysilicon thin-film transistors Navneet Gupta* & B P Tyagi**

More information

Chapter 6. AlGaAs/GaAs/GaAs Wafer-fused HBTs

Chapter 6. AlGaAs/GaAs/GaAs Wafer-fused HBTs Chapter 6. AlGaAs/GaAs/GaAs Wafer-fused HBTs 6.1. Overview Previous chapters described an AlGaAs-GaAs-GaN HBT, in which an epitaxially grown AlGaAs-GaAs emitter-base was wafer-fused to a GaN collector.

More information

Chapter 2 Problems. The CMOS technology we need to realize is shown below, from Figure 1-34 in the text. S P + N P + N WELL P +

Chapter 2 Problems. The CMOS technology we need to realize is shown below, from Figure 1-34 in the text. S P + N P + N WELL P + Chapter 2 roblems 2.1 Sketch a process flow that would result in the structure shown in Figure 1-34 by drawing a series of drawings similar to those in this chapter. You only need to describe the flow

More information

EE 434 Lecture 9. IC Fabrication Technology

EE 434 Lecture 9. IC Fabrication Technology EE 434 Lecture 9 IC Fabrication Technology Quiz 7 The layout of a film resistor with electrodes A and B is shown. If the sheet resistance of the film is 40 /, determine the resistance between nodes A and

More information

Modeling of Local Oxidation Processes

Modeling of Local Oxidation Processes Introduction Isolation Processes in the VLSI Technology Main Aspects of LOCOS simulation Athena Oxidation Models Several Examples of LOCOS structures Calibration of LOCOS effects using VWF Field Oxide

More information

VLSI Design and Simulation

VLSI Design and Simulation VLSI Design and Simulation CMOS Processing Technology Topics CMOS Processing Technology Semiconductor Processing How do we make a transistor? Fabrication Process Wafer Processing Silicon single crystal

More information

8. Epitaxy. - Extended single-crystal film formation on top of a crystalline substrate

8. Epitaxy. - Extended single-crystal film formation on top of a crystalline substrate 8. Epitaxy 1. Introduction επι(epi placed or resting upon) ταξιζ(taxis arrangement) - Extended single-crystal film formation on top of a crystalline substrate - Homoepitaxy : Film and substrate are the

More information

RECENTLY, p poly-si was recommended as the gate

RECENTLY, p poly-si was recommended as the gate IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 45, NO. 8, AUGUST 1998 1737 Argon Ion-Implantation on Polysilicon or Amorphous-Silicon for Boron Penetration Suppression in p pmosfet Lurng Shehng Lee and Chung

More information

Extended Abstracts of the Sixth International Workshop on Junction Technology

Extended Abstracts of the Sixth International Workshop on Junction Technology IWJT-2006 Extended Abstracts of the Sixth International Workshop on Junction Technology May.15-16, 2006, Shanghai, China Editors: Yu-Long Jiang, Guo-Ping Ru, Xin-Ping Qu, and Bing-Zong Li IEEE Press IEEE

More information

CHAPTER - 4 CMOS PROCESSING TECHNOLOGY

CHAPTER - 4 CMOS PROCESSING TECHNOLOGY CHAPTER - 4 CMOS PROCESSING TECHNOLOGY Samir kamal Spring 2018 4.1 CHAPTER OBJECTIVES 1. Introduce the CMOS designer to the technology that is responsible for the semiconductor devices that might be designed

More information

Introduction to CMOS VLSI Design. Layout, Fabrication, and Elementary Logic Design

Introduction to CMOS VLSI Design. Layout, Fabrication, and Elementary Logic Design Introduction to CMOS VLSI Design Layout, Fabrication, and Elementary Logic Design CMOS Fabrication CMOS transistors are fabricated on silicon wafer Lithography process similar to printing press On each

More information