Photoresist and Process Issues on 193nm Immersion Lithography

Size: px
Start display at page:

Download "Photoresist and Process Issues on 193nm Immersion Lithography"

Transcription

1 Photoresist and Process Issues on 193nm Immersion Lithography Jin-Young Yoon, Jung-Hwan Hah, Yun-Kyung Jang, Mitsuhiro Hata, Hyung-Rae Lee, Chan Hwang, Young-Jae Jung, Shi-Yong Lee, Yool Kang, Hyun-Woo Kim, Duck-Sun Yang, Joo-On Park, Suk-Joo Lee, Man-Hyoung Rhyoo, Sang-Jun Choi, Sang-Gyun Woo, Han-Ku Cho, Joo-Tae Moon Process Development Team Samsung Electronics Co. Ltd ~ nd international Symposium on 193nm Immersion Lithography 1/ total 1

2 Outline Background Motivation and objective Experimental procedure Mimic process Lithographic performance Compatibility with photoresist Leaching defectivity Immersion process Summary Acknowledgement 2/ total 2

3 Background By immersing lens into water, DOF increase or resolution enhancement can be obtained. ArF immersion lithography is believed to be primary candidate for sub-60nm device generation. Dry Wet Exposure latitude (%) nm LS simulated / 0.4 total Depth of focus (um) 3

4 Motivation and Objective To avoid degradation of pattern profile induced by water as immersion media, barrier coating or water resistant photoresist is required. Immersion media Leaching, water uptake pattern degradation exposed substrate Immersion media Barrier (topcoat) exposed substrate Immersion media substrate 4/ total 4

5 Experimental Design : Mimic Soaking step is inserted before or after exposure and compared to normal dry processed wafer in the view of pattern fidelity and defectivity, etc. Normal Coating Expose PEB DEV Pre-soak Post-soak Dual-soak Immersion 5/ total 5

6 Topcoat process Topcoat is effective to suppress leaching and conventional photoresist can be extended. Normal Soak 10s Soak 30s Soak 60s pattern degradation due to leaching Some topcoats show good compatibility with conventional dry photoresists. PR only Topcoat A Topcoat B Topcoat C 6/ total 6

7 Soaking Defectivity Topcoats can prevent defects generated by leaching. Defectivity in topcoat process is dependent on compatibility with photoresist and barrier property. PR only PR+TC Soaking defect free Dry Soak Dry Soak Soaking defects ~ watermark, T-top, u-bridge 7/ total 7

8 Screen by defectivity Defectivity of dry and mimic process using topcoat reflects the compatibility of topcoats with photoresists and barrier properties to water. Topcoat 1 Topcoat 2 Topcoat 3 Topcoat 4 Dry Interaction Interaction Soak 8/ total Water barrier 8

9 Litho performances Current immersion dedicated photoresists show good lithographic performances comparing conventional ArF photoresists used in dry process. EL 3sigma LWR EL ±8% CD (%) / total Ref A B C D E F G H I s-LWR (nm) Resist 9

10 Effect of leaching CD and profile of photoresist are affected by soaking. Comparing CD variations and LWR(3s) of dry and mimic processes, leaching characteristics can be measured. Width CD (nm) dry pre60 post60 dual60 Range* Dry Pre-soak Postsoak 10/ total Space (nm) Max-Min (nm) 10

11 Defectivity w/o topcoat Immersion dedicated photoresists show little change in defectivity by soaking. Watermarks or water stains can be observed randomly. Immersion dedicated Mimic Dry Conventional 2nd International Symposium on Immersion Lithography 11/ total 11

12 Compatibility w/ topcoat Immersion dedicated photoresist show good compatibility with topcoats as for defectivity and lithographic performance. With topcoat Mimic Dry PR only 2nd International Symposium on Immersion Lithography 12/ total 12

13 Process Windows With identical numerical aperture, DoF gain is about 75% in immersion process comparing dry exposure. Dry Wet 13/ total 2nd International Symposium on Immersion Lithography 13

14 Defectivity Immersion defectivity is resembled with mimic process except bubbles in actual immersion process. Bubble defects seem to be dependent on surface property and immersion hood configuration. Dry Mimic Immersion Bubbles and watermarks observed in immersion process 14/ total 14

15 Hydrophobicity Surface property is another key to control immersion defectivity. Contact angles and sliding angles were measured for various topcoats and photoresists. They will be correlated to actual immersion defectivity Sliding Angle / total Contact Angle 15

16 Summary Various topcoats and photoresists have been evaluated using mimic process. Mimic is usable to measure barrier properties of topcoats and interaction with underlying photoresists. By adopting topcoats, leaching can be suppressed effectively. Defectivity of topcoat process is dependent on the compatibility with photoresist and barrier property to water. From soaking defectivity, some of topcoats show good compatibility and water resistance. Immersion dedicated photoresists also show good lithographic performances and lower defectivity. Lithographic performances have been proved using actual immersion tool. Depth of focus is dramatically enhanced and there is little change of defectivity comparing mimic process. Bubble defects are still observed in current immersion hood and study on other defects are on-going. 16/ total 16

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Table 1. Compositions of top coat and non-top coat resist materials evaluated in this work.

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Table 1. Compositions of top coat and non-top coat resist materials evaluated in this work. 2. Experimental conditions Table 1 shows compositions of top coat and non top coat resist materials evaluated in this work. Resist A is a conventional ArF resist using immersion top coat. Resists B, C,

More information

Patterning Capability and Limitations by Pattern Collapse in 45nm and below Node Photo Mask Production

Patterning Capability and Limitations by Pattern Collapse in 45nm and below Node Photo Mask Production Patterning Capability and Limitations by Pattern Collapse in 4nm and below Node Photo Mask Production Guen-Ho Hwang, Manish Patil, Soon-Kyu Seo, Chu-Bong Yu, Ik-Boum Hur, Dong Hyun Kim, Cheol Shin, Sung-Mo

More information

Lithography options for the 32nm half pitch node. imec

Lithography options for the 32nm half pitch node. imec Lithography options for the 32nm half pitch node imec 2006 1 Lithography options for the 32nm half pitch node Luc Van den hove and Kurt Ronse ITRS roadmap:32 nm half pitch requirement Product Half-Pitch,

More information

Stress Distribution and Crack Formation on Sliding Gate

Stress Distribution and Crack Formation on Sliding Gate Future Work 011 UIUC, August 1, 010 Stress Distribution and Crack Formation on Sliding Gate Hyoung-Jun Lee, Seong-Mook Cho, Seon-Hyo Kim Department of Materials Science and Engineering, Pohang University

More information

Pattern Dependent Satellite Defects in Via Lithography

Pattern Dependent Satellite Defects in Via Lithography Pattern Dependent Satellite Defects in Via Lithography Chih-Chieh Yu*, Mars Yang, Elvis Yang, T. H. Yang, K. C. Chen and Chih-Yuan Lu Macronix International Co. Ltd, No. 16, Li-Hsin Rd., Science Park,

More information

EUV patterning improvement toward high-volume manufacturing

EUV patterning improvement toward high-volume manufacturing P63 EUV patterning improvement toward high-volume manufacturing 2015 International Workshop on EUV Lithography Tokyo Electron Kyushu Ltd. / SPE process dept. Y. Kuwahara, K. Matsunaga, K. Nafus, S. Kawakami

More information

The Job Classification System and Certification. Lists of Construction Industry - Focused on Construction Technicians

The Job Classification System and Certification. Lists of Construction Industry - Focused on Construction Technicians Contemporary Engineering Sciences, Vol. 7, 2014, no. 21, 1053-1060 HIKARI Ltd, www.m-hikari.com http://dx.doi.org/10.12988/ces.2014.49132 The Job Classification System and Certification Lists of Construction

More information

Technical Data Sheet Technisches Datenblatt

Technical Data Sheet Technisches Datenblatt AZ ECI 3000 Photoresist Universal i-line/crossover Photoresist Series GENERAL INFORMATION AZ ECI 3000 photoresist series are a family of fast positive resists with high resolution capabilities (0.4 µm

More information

Microbridge reduction in negative tone imaging at photoresist pointof-use

Microbridge reduction in negative tone imaging at photoresist pointof-use Microbridge reduction in negative tone imaging at photoresist pointof-use filtration Toru Umeda* a, Tsukasa Yamanaka b, Naoya Iguchi b, Shuichi Tsuzuki a a Nihon Pall Ltd., 46 Kasuminosato, Ami-machi,

More information

Low contact resistance a-igzo TFT based on. Copper-Molybdenum Source/Drain electrode

Low contact resistance a-igzo TFT based on. Copper-Molybdenum Source/Drain electrode Low contact resistance a-igzo TFT based on Copper-Molybdenum Source/Drain electrode Shi-Ben Hu 1,Hong-Long Ning 1,2, Feng Zhu 1,Rui-QiangTao 1,Xian-Zhe Liu 1, Yong Zeng 1, Ri-Hui Yao 1, Lei Wang 1, Lin-Feng

More information

Yong Gu Suh. Professor of Marketing. Biography. -Research Areas. -Undergraduate/MBA Teachings. -Publications. Sookmyung Women s University

Yong Gu Suh. Professor of Marketing. Biography. -Research Areas. -Undergraduate/MBA Teachings. -Publications. Sookmyung Women s University Yong Gu Suh Professor of Marketing Sookmyung Women s University Department of Business & Economics Biography YongGu Suh (53) is Professor of Marketing at Sookmyung University in Seoul. He received a doctoral

More information

Effects of Thin Film Depositions on the EUV mask Flatness

Effects of Thin Film Depositions on the EUV mask Flatness Effects of Thin Film Depositions on the EUV mask Flatness Kyoung-Yoon Bang, Jinback Back, Hwan-Seok Seo, Dongwan Kim, DongHoon Chung, SeongSue Kim, Sang-Gyun Woo, and HanKu Cho Photomask Team Semiconductor

More information

Defects, Overlay and Focus Performance Improvements with Five Generations of Immersion Exposure Systems

Defects, Overlay and Focus Performance Improvements with Five Generations of Immersion Exposure Systems Defects, Overlay and Focus Performance Improvements with Five Generations of Immersion Exposure Systems Jan Mulkens, Bob Streefkerk, Hans Jasper, Jos de Klerk, Fred de Jong, Leon Levasier and Martijn Leenders.

More information

Metal Oxide EUV Photoresists for N7 Relevant Patterns

Metal Oxide EUV Photoresists for N7 Relevant Patterns Metal Oxide EUV Photoresists for N7 Relevant Patterns Stephen T. Meyers, Andrew Grenville 2016 International Workshop on EUV Lithography Resists Designed for EUV Lithography Integration Stochastic Variability

More information

A novel 248-nm wet-developable BARC for trench applications

A novel 248-nm wet-developable BARC for trench applications A novel 248-nm wet-developable BARC for trench applications Charles J. eef & Deborah Thomas Brewer Science, Inc., 2401 Brewer Dr., Rolla, M, 65401 USA ABSTRACT A novel polyamic acid based, 248-nm wet-developable

More information

Use of Spin-On-Hard Mask Materials for nano scale patterning technology

Use of Spin-On-Hard Mask Materials for nano scale patterning technology Use of Spin-On-Hard Mask Materials for nano scale patterning technology Wen-Hao Wu*, Edward Y. Chang, National Chiao Tung University, Department of Materials Science and Engineering 1001 Ta-Hsueh Rd.,

More information

Projects endorsed by the South Korean Ministry of Science, ICT and Future Planning (MSIP)

Projects endorsed by the South Korean Ministry of Science, ICT and Future Planning (MSIP) Projects endorsed by the South Korean Ministry of Science, ICT and Future Planning (MSIP) Contacts (email, office) KIER Development of energy efficient CO capture new process technology using solvent Baek,

More information

EUV Mask Defect Reduction : Status and Challenges

EUV Mask Defect Reduction : Status and Challenges EUV Mask Defect Reduction : Status and Challenges Brian BC Cha*, Inyong Kang, Wonsuk Ahn, Sanghyun Kim, Hwanseok Seo, Suyoung Lee, Hanshin Lee, Sungmin Huh, Wonil Cho, Jihoon Na, Hoon Kim, *bccha@samsung.com

More information

Reliability of Sn 8 mass%zn 3 mass%bi Lead-Free Solder and Zn Behavior

Reliability of Sn 8 mass%zn 3 mass%bi Lead-Free Solder and Zn Behavior Materials Transactions, Vol. 46, No. 11 (2005) pp. 2322 to 2328 Special Issue on Lead-Free Soldering in Electronics III #2005 The Japan Institute of Metals Reliability of Sn 8 mass%zn 3 mass%bi Lead-Free

More information

Supporting Information for

Supporting Information for Electronic Supplementary Material (ESI) for Nanoscale. This journal is The Royal Society of Chemistry 2015 Supporting Information for Large-Scale Freestanding Nanometer-thick Graphite Pellicle for Mass

More information

The low dislocation gallium nitride layer by AP-MOCVD. Abstract

The low dislocation gallium nitride layer by AP-MOCVD. Abstract The low dislocation gallium nitride layer by AP-MOCVD Fu-Chuan Chu, Sheng-Fu Yu, Chao-Hung Chen, Chou-Shuang Huang, Ray-Ming Lin* Dept. of Electronics Engineering, Chang Gung University, Taoyuan, Taiwan,

More information

Curriculum Vitae. (last updated: ) Min-Soo Kim

Curriculum Vitae. (last updated: ) Min-Soo Kim Curriculum Vitae (last updated:2018-02-08) Min-Soo Kim Current Address Ph.D. Degree, Department of Biology, Kyung Hee University, 26 Kyungheedaero, Dondaemun-Gu, Seoul, 02447, Republic of Korea Tel:+82-2-961-2312

More information

2-inch polycrystalline silicon thin film transistor array. using field aided lateral crystallization

2-inch polycrystalline silicon thin film transistor array. using field aided lateral crystallization 2-inch polycrystalline silicon thin film transistor array using field aided lateral crystallization JAE HOON JUNG, MYEONG HO KIM, YOUNG BAE KIM a, DUCK-KYUN CHOI, Division of Materials Science and Engineering,

More information

Post-CMP Cleaning: Interaction between Particles and Surfaces

Post-CMP Cleaning: Interaction between Particles and Surfaces Post-CMP Cleaning: Interaction between Particles and Surfaces J.-G. Park and T.-G. Kim Department of Materials Engineering, Hanyang University, Ansan, 426-791, South Korea E-mail: jgpark@hanyang.ac.kr

More information

Transparent Heat Mirror Using Plasma Polymer Fluorocarbon Fabricated by Continuous Roll-to-Roll Sputtering

Transparent Heat Mirror Using Plasma Polymer Fluorocarbon Fabricated by Continuous Roll-to-Roll Sputtering 2R Conference USA 2018 Transparent Heat Mirror Using Plasma Polymer Fluorocarbon Fabricated by Continuous Roll-to-Roll Sputtering Sang-Jin Lee, Ph.D/Principal Researcher Sung Hyun Kim, Mac Kim, Tae-Woon

More information

Key Technologies for Next Generation EUV Lithography

Key Technologies for Next Generation EUV Lithography Key Technologies for Next Generation EUV Lithography September 15, 2017 Toshi Nishigaki Vice President and General Manager Advanced Semiconductor Technology Division / Tokyo Electron Limited Toshi Nishigaki

More information

We invite you to the Third International Workshop on EEWS, whose theme is "Creating New Industries with EEWS".

We invite you to the Third International Workshop on EEWS, whose theme is Creating New Industries with EEWS. KAIST KI Bld. / Oct. 7~8, 2010 Invitation We invite you to the Third International Workshop on EEWS, whose theme is "Creating New Industries with EEWS". Recognizing the challenges in Energy, Environment,

More information

Yuk Pheel Park. (1) Sep Feb. 2007: (Ph.D.) Department of Biology, Chungnam National University, Korea.

Yuk Pheel Park. (1) Sep Feb. 2007: (Ph.D.) Department of Biology, Chungnam National University, Korea. Yuk Pheel Park Personal Information 1) Name: Yuk Pheel Park 3) Gender: Female 4) Marital status: Married 5) Citizenship: Republic of Korea Cellular phone: 301-814-4371 E-mail: Ypark2@dental.ufl.edu, ph7341@hotmail.com

More information

Journal of Engineering Technology

Journal of Engineering Technology Best practice on automatic document generation with process asset library for Small and Midsize Enterprises (SMEs) So Young Moon, Byungkook Jeon * and R. Young Chul Kim SE Lab., Dept. of Software and Communications

More information

Study of ADI (After Develop Inspection) On Photo Resist Wafers Using Electron Beam (II)

Study of ADI (After Develop Inspection) On Photo Resist Wafers Using Electron Beam (II) Study of ADI (After Develop Inspection) n Photo Resist Wafers Using Electron Beam (II) Teruyuki Hayashi a, Misako Saito a, Kaoru Fujihara a,setsuko Shibuya a,y.kudou a,hiroshi Nagaike b Joseph Lin c,jack

More information

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process. Data Package

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process. Data Package AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process Data Package AZ BARLi II Coating Material Features, Process, and Performance AZ s bottom antireflective coating material,

More information

Recent Innovations in ArF Sumiresist

Recent Innovations in ArF Sumiresist Recent Innovations in ArF Sumiresist Sumitomo hemical o., Ltd. IT-Related hemicals Research Laboratory Ichiki TAKEMOTO Kunishige EDAMATSU With the increased development in electronic equipment in our highly

More information

Optical and Physical Characteristics of EUV Phase Shift Masks

Optical and Physical Characteristics of EUV Phase Shift Masks Optical and Physical Characteristics of EUV Phase Shift Masks Tae Geun Kim, Byung Hun Kim, Chang Young Jeong, Chung Yong Kim, SangsulLee, and Jinho Ahn Department of Materials Science and Engineering,,

More information

Understanding. Brewer Science

Understanding. Brewer Science Understanding ARC Products General ARC Presentation: Slide #1 Overview Anti-reflective coating introduction Types of anti-reflective coating Advantages to anti-reflective coatings Advantages to bottom

More information

Laboratory of Advanced Ceramics for Energy and Environment Introduction Prof. Younki Lee

Laboratory of Advanced Ceramics for Energy and Environment Introduction Prof. Younki Lee Laboratory of Advanced Ceramics for Energy and Environment Introduction Prof. Younki Lee School of Materials Science and Engineering Gyeongsang National University, Jinju, Republic of Korea 2 Research

More information

April 11, th Surface Preparation and Cleaning Conference (SPCC) Department of 1 Bionanotechnology and 2

April 11, th Surface Preparation and Cleaning Conference (SPCC) Department of 1 Bionanotechnology and 2 19 th Surface Preparation and Cleaning Conference (SPCC) April 11, 2018 Jung-Hwan Lee 1, Murhukrishnan Purushothaman 1, Kwang-Min Han 1, Shohei Shima 3, Satomi Hamada 3, Hirokuni Hiyama 3, and Jin-Goo

More information

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process Data Package

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process Data Package AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process Data Package The information contained herein is, as far as we are aware, true and accurate. However, no representations

More information

LITHOGRAPHY MATERIAL READINESS FOR HVM EUV TECHNOLOGY DANILO DE SIMONE

LITHOGRAPHY MATERIAL READINESS FOR HVM EUV TECHNOLOGY DANILO DE SIMONE LITHOGRAPHY MATERIAL READINESS FOR HVM EUV TECHNOLOGY DANILO DE SIMONE EUV HISTORY AT IMEC OVER 10 YEARS OF EUV EXPOSURE TOOLS AT IMEC 2006-2011 2011-2015 2014 - present ASML Alpha-Demo tool 40nm 27nm

More information

Fabrication of a Uniform Low Temperature Poly-Si TFT Array by Optimized Field Aided Lateral Crystallization

Fabrication of a Uniform Low Temperature Poly-Si TFT Array by Optimized Field Aided Lateral Crystallization Fabrication of a Uniform Low Temperature Poly-Si TFT Array by Optimized Field Aided Lateral Crystallization Jae Hoon Jung, Kwang Jin Lee, Duck Kyun Choi, Ji Hoon Shin, Jung Sun You and Young Bae Kim J.

More information

Amorphous Carbon Hard Mask for Multiple Patterning Lithography

Amorphous Carbon Hard Mask for Multiple Patterning Lithography Amorphous Carbon Hard Mask for Multiple Patterning Lithography TIMOTHY D. HORN 33 RD ANNUAL MICROELECTRONIC ENGINEERING CONFERENCE RIT MICROELECTRONIC ENGINEERING Outline I. Background carbon hard mask

More information

Hybrid BARC approaches for FEOL and BEOL integration

Hybrid BARC approaches for FEOL and BEOL integration Hybrid BARC approaches for FEOL and BEOL integration Willie Perez a, Stephen Turner a, Nick Brakensiek a, Lynne Mills b, Larry Wilson b, Paul Popa b a Brewer Science, Inc., 241 Brewer Dr., Rolla, MO 6541

More information

Progress in EUV resist development

Progress in EUV resist development Progress in EUV resist development T. Shimokawa, T. Kai, D. Shimizu, K. Maruyama, A. Saitou, Y. Hishiro, Semiconductor Materials Laboratory, JSR Corporation. JSR Micro, INC. 2008 International Workshop

More information

DEVELOPMENT OF BIM STANDARD DATABASE SYSTEM FOR THE REMODELING OF DETERIORATED APARTMENT

DEVELOPMENT OF BIM STANDARD DATABASE SYSTEM FOR THE REMODELING OF DETERIORATED APARTMENT DEVELOPMENT OF BIM STANDARD DATABASE SYSTEM FOR THE REMODELING OF DETERIORATED APARTMENT Lee, Dong-Gun PhD Candidate, Dept. of Architectural Engineering, Ajou University, Suwon, Korea Pstupstu@hotmail.com

More information

Transformative route to nanoporous manganese oxides of controlled oxidation states with identical textural properties

Transformative route to nanoporous manganese oxides of controlled oxidation states with identical textural properties Electronic Supplementary Material (ESI) for Journal of Materials Chemistry A. This journal is The Royal Society of Chemistry 2014 Electronic Supplementary Information (ESI) for Transformative route to

More information

Ceramic Processing Research

Ceramic Processing Research Journal of Ceramic Processing Research. Vol. 10, No. 1, pp. 90~94 (2009) J O U R N A L O F Ceramic Processing Research An investigation into multi-layered coatings on bipolar plates for a PEM (proton exchange

More information

Recent Breakthroughs in Microbial Biotechnology: from Bench to Industry

Recent Breakthroughs in Microbial Biotechnology: from Bench to Industry July 3-5, 2013 Alpensia, Pyeongchang, Korea Recent Breakthroughs in Microbial Biotechnology: from Bench to Industry Date July 3 (Wed) July 5 (Fri) Place Time Rm 1 Rm 2 Rm 3 Rm 4 Rm 5 Hall Rm 1 Rm 2 Rm

More information

Development of block copolymer systems for directed self assembly at the University of Queensland

Development of block copolymer systems for directed self assembly at the University of Queensland Development of block copolymer systems for directed self assembly at the University of Queensland Imelda Keen, Han-Hao Cheng, Anguang Yu, Thomas Bennett, Ya-Mi Chuang, Kevin Jack, Kristofer Thurecht Andrew

More information

Academia and Research Institute -Hanyang Univ.: strongest activities on Mask/Pellicle/Cleaning/Process Simulation -SKKU, Inha Univ., KAIST etc.

Academia and Research Institute -Hanyang Univ.: strongest activities on Mask/Pellicle/Cleaning/Process Simulation -SKKU, Inha Univ., KAIST etc. Jinho Ahn Device manufacturer and material supplier -Samsung : DRAM, Logic, High-end Foundry -SK hynix: DRAM -Kumho Petrochemical: Photoresist Academia and Research Institute -Hanyang Univ.: strongest

More information

Author s response to reviews

Author s response to reviews Author s response to reviews Title: Assessment of laparoscopic stomach preserving surgery with sentinel basin dissection versus standard gastrectomy with lymphadenectomy in early gastric cancer-a multicenter

More information

Towards cost-effective and low defectivity DSA flows for line/space patterning

Towards cost-effective and low defectivity DSA flows for line/space patterning Towards cost-effective and low defectivity DSA flows for line/space patterning Hari Pathangi, Arindam Malik, B.T. Chan, Varun Vaid, Nadia Vandenbroeck, Roel Gronheid Jin Li, Baskaran Durairaj, JiHoon Kim,

More information

Supporting Information

Supporting Information Supporting Information Performance Enhancement of Silicon Alloy-based Anodes using Thermally Treated Poly(amide imide) as a Polymer Binder for High Performance Lithium-Ion Batteries Hwi Soo Yang, Sang-Hyung

More information

STRESS IN SU-8 PHOTORESIST FILMS: DOE APPROACH

STRESS IN SU-8 PHOTORESIST FILMS: DOE APPROACH STRESS IN SU-8 PHOTORESIST FILMS: DOE APPROACH RYAN M. BOWEN, EYUP CINAR 02/23/2012 0305-320 Design of Experiments Overview Motivation Introduction Theory - Negative Photoresist Deposition Process Experimental

More information

New materials for surface energy control of 193 nm photoresists

New materials for surface energy control of 193 nm photoresists New materials for surface energy control of 193 nm photoresists Dan Sanders, Linda Sundberg, Hiroshi Ito, Phil Brock, Ratnam Sooriyakumaran, Hoa Truong, Robert Allen IBM Almaden Research Center, San Jose,

More information

Joint Workshop for e-government and Smart City Towards Sustainable Development

Joint Workshop for e-government and Smart City Towards Sustainable Development 9:00 am - 10:00 am Registration DAY 1 : May 24, 2016 (Tuesday) Opening Address Byung-jo Suh President, National Information Society Agency (NIA) Welcome Remarks Il-kwon Bae Director of Global e-government

More information

NASA Mirror Technology Days 2014

NASA Mirror Technology Days 2014 NASA Mirror Technology Days 2014 Jeong-Yeol Han, Myung Cho, Gary Poczulp, Jakyung Nah, Hyun-Joo Seo, Kyeong-Hwan Kim, Kyung-Mo Tahk, Dong-Kyun Kim, Jinho Kim, Minho Seo, Jonggun Lee and Sung-Yeop Han Nov.

More information

Tailorable BARC system to provide optimum solutions for various substrates in immersion lithography

Tailorable BARC system to provide optimum solutions for various substrates in immersion lithography Tailorable BARC system to provide optimum solutions for various substrates in immersion lithography Michael Swope, Vandana Krishnamurthy, Zhimin Zhu, Daniel Sullivan, Sean Simmons, Chris Cox, Randy Bennett,

More information

Curriculum Vitae. Professor Yoon-Seok Chang, Ph.D.

Curriculum Vitae. Professor Yoon-Seok Chang, Ph.D. Curriculum Vitae Professor Yoon-Seok Chang, Ph.D. Division of Environmental Science and Engineering Pohang University of Science and Technology (POSTECH) 77 Cheongam-Ro, Namku, Pohang, 790-784, Republic

More information

UV5 POSITIVE DUV PHOTORESIST For Microlithography Applications

UV5 POSITIVE DUV PHOTORESIST For Microlithography Applications UV5 POSITIVE DUV PHOTORESIST For Microlithography Applications DESCRIPTION UV5 positive DUV photoresist has been optimized to provide vertical profile imaging of isolated and semidense features for device

More information

Smart Nanocarrier Based on PEGylated Hyaluronic Acid for Cancer Therapy

Smart Nanocarrier Based on PEGylated Hyaluronic Acid for Cancer Therapy (Supporting information for ACS Nano) Smart Nanocarrier Based on PEGylated Hyaluronic Acid for Cancer Therapy Ki Young Choi,, Hong Yeol Yoon,, Jong-Ho Kim, Sang Mun Bae, Rang-Woon Park, Young Mo Kang,

More information

Processing guidelines. Negative Tone Photoresists mr-ebl 6000

Processing guidelines. Negative Tone Photoresists mr-ebl 6000 Characteristics Processing guidelines Negative Tone Photoresists mr-ebl 6000 mr-ebl 6000 is a chemically amplified negative tone photoresist for the use in micro- and nanoelectronics. - Electron beam sensitive

More information

Photoresist-induced development behavior in DBARCs

Photoresist-induced development behavior in DBARCs Photoresist-induced development behavior in DBARCs Jim D. Meador, Alice Guerrero, Joyce A. Lowes, Charlyn Stroud, Brandy Carr, Anwei Qin, Carlton Washburn, and Ramil-Marcelo L. Mercado Brewer Science,

More information

Desktop Micro Forming System for Micro Pattern on the Metal Substrate

Desktop Micro Forming System for Micro Pattern on the Metal Substrate Desktop Micro Forming System for Micro Pattern on the Metal Substrate Hye-Jin Lee 1,1, Jung-Han Song 1, Sol-Kil Oh 1, Kyoung-Tae Kim 1, Nak-Kyu Lee 1, Geun-An Lee 1, Hyoung-Wook Lee 2 and Andy Chu 3 1

More information

Effect of Additives in Diluted HF Solutions on Removal of Metal Contaminants and Particles on Silicon Wafer

Effect of Additives in Diluted HF Solutions on Removal of Metal Contaminants and Particles on Silicon Wafer Effect of Additives in Diluted HF Solutions on Removal of Metal Contaminants and Particles on Silicon Wafer Sung-Hae Jang a, Hyun-Tae Kim a, Dong-Hwan Lee a Jae-Hwan Lee b, Eun-Suck Choi b and Jin-Goo

More information

Date of Birth : Nov. 17, Massachusetts Institute of Technology.

Date of Birth : Nov. 17, Massachusetts Institute of Technology. Curriculum Vitae Kang Soo Lee As of 03/20/2015 PERSONAL Name in Full : Kang Soo Lee INFORMATION Place of Birth : Seoul, Republic of Korea Date of Birth : Nov. 17, 1981 WORK ADDRESS Massachusetts Institute

More information

Nano-imprinting Lithography Technology І

Nano-imprinting Lithography Technology І Nano-imprinting Lithography Technology І Agenda Limitation of photolithograph - Remind of photolithography technology - What is diffraction - Diffraction limit Concept of nano-imprinting lithography Basic

More information

A Study on the Variation of Heating & Cooling Load by the Various Shading and Performance of Window in Office Buildings Seok-Hyun Kim 1, Kyung-ju Shin

A Study on the Variation of Heating & Cooling Load by the Various Shading and Performance of Window in Office Buildings Seok-Hyun Kim 1, Kyung-ju Shin A Study on the Variation of Heating & Cooling Load by the Various Shading and Performance of Window in Office Buildings Seok-Hyun Kim 1, Kyung-ju Shin 1, Bo-eun Choi 1, Jae-Hun Jo 2, Young-Hum Cho 3, Soo

More information

Introduction to Lithography

Introduction to Lithography Introduction to Lithography G. D. Hutcheson, et al., Scientific American, 290, 76 (2004). Moore s Law Intel Co-Founder Gordon E. Moore Cramming More Components Onto Integrated Circuits Author: Gordon E.

More information

Rational Design of a Bi-functional Catalyst for the. Oxydehydration of Glycerol: A Combined. Theoretical and Experimental Study

Rational Design of a Bi-functional Catalyst for the. Oxydehydration of Glycerol: A Combined. Theoretical and Experimental Study Supporting Information Rational Design of a Bi-functional Catalyst for the Oxydehydration of Glycerol: A Combined Theoretical and Experimental Study Yang Sik Yun, Kyung Rok Lee, Hongseok Park, Tae Yong

More information

4/10/2012. Introduction to Microfabrication. Fabrication

4/10/2012. Introduction to Microfabrication. Fabrication Introduction to Microfabrication Fabrication 1 MEMS Fabrication Flow Basic Process Flow in Micromachining Nadim Maluf, An introduction to Microelectromechanical Systems Engineering 2 Thin Film Deposition

More information

Improving material-specific dispense processes for low-defect coatings

Improving material-specific dispense processes for low-defect coatings Improving material-specific dispense processes for low-defect coatings Nick Brakensiek *a, Jennifer Braggin b, John Berron a, Raul Ramirez b, Karl Anderson b, Brian Smith a a Brewer Science, Inc., 2401

More information

APAIB-UN Joint Conference, Tokyo, Japan

APAIB-UN Joint Conference, Tokyo, Japan APAIB-UN Joint Conference, Tokyo, Japan June 30, 2018 Conference Room 402, Bldg 3, Faculty of Political Science and Economics, Waseda University Time Program 09:30~10:00 Registration 10:00~10:10 Opening

More information

SUPPORTING INFORMATION

SUPPORTING INFORMATION Electronic Supplementary Material (ESI) for Journal of Materials Chemistry C. This journal is The Royal Society of Chemistry 2017 SUPPORTING INFORMATION Fabrication of a high-performance thin film polarizer

More information

Chapter 3 Resist Leaching and Water Uptake

Chapter 3 Resist Leaching and Water Uptake Chapter 3 Resist Leaching and Water Uptake One unique aspect of 193i lithography is the use of water situated between the final lens element and the resist. The resist stack (with or without topcoat) on

More information

DEPOSITION AND CHARACTERISTICS OF TANTALUM NITRIDE FILMS BY PLASMA ASSISTED ATOMIC LAYER DEPOSITION AS CU DIFFUSION BARRIER

DEPOSITION AND CHARACTERISTICS OF TANTALUM NITRIDE FILMS BY PLASMA ASSISTED ATOMIC LAYER DEPOSITION AS CU DIFFUSION BARRIER Mat. Res. Soc. Symp. Proc. Vol. 766 2003 Materials Research Society E3.22.1 DEPOSITION AND CHARACTERISTICS OF TANTALUM NITRIDE FILMS BY PLASMA ASSISTED ATOMIC LAYER DEPOSITION AS CU DIFFUSION BARRIER Kyoung-Il

More information

Thermodynamic and Kinetic Stability of Nanobubbles at the Water-Solid Interface: A Modeling and AFM Study

Thermodynamic and Kinetic Stability of Nanobubbles at the Water-Solid Interface: A Modeling and AFM Study Thermodynamic and Kinetic Stability of Nanobubbles at the Water-Solid Interface: A Modeling and AFM Study B. Budhlall, X. He, I. Hyder, S. Mehta, G. Parris International Symposium on Immersion & 157nm

More information

Gas Holdup and Gas-Liquid Mass Transfer in Three-Phase Circulating Fluidized-Bed Bioreactors

Gas Holdup and Gas-Liquid Mass Transfer in Three-Phase Circulating Fluidized-Bed Bioreactors J. Ind. Eng. Chem., Vol. 13, No. 1, (2007) 14-20 Gas Holdup and Gas-Liquid Mass Transfer in Three-Phase Circulating Fluidized-Bed Bioreactors Sung-Mo Son, Suk-Hwan Kang, Tae-Gyu Kang, Pyung-Seob Song,

More information

Choi, Jun-Hyuk Korea Institute of Machinery & Materials

Choi, Jun-Hyuk Korea Institute of Machinery & Materials The 11 th US-Korea Nanosymposium Choi, Jun-Hyuk 2014. 09. 29 Korea Institute of Machinery & Materials About KIMM Nano-research Bldg Clean RM Five Research Divisions; 1. Advanced Manufacturing Sys. 2. Extreme

More information

AIMCAL R2R Conference

AIMCAL R2R Conference Contents Introduction Markets OLED Structures Technology Fabrication Process Evaluation (Microstructure & etc) Summary Introduction [Merit of Rigid OLED Display] Cheap product cost Mass production Free

More information

Customer Support: Leveraging Value of Ownership

Customer Support: Leveraging Value of Ownership Customer Support: Leveraging Value of Ownership Bernard Carayon SVP Customer Support WW Analyst Day, 30 September 2004 / Slide 1 Agenda! Customer Support main activities! Worldwide Organization and installed

More information

Flexible and Disposable Sensing Platforms. based on Newspaper

Flexible and Disposable Sensing Platforms. based on Newspaper Supporting Information Flexible and Disposable Sensing Platforms based on Newspaper MinHo Yang,, Soon Woo Jeong,, Sung Jin Chang, Kyung Hoon Kim, Minjeong Jang, Chi Hyun Kim, Nam Ho Bae, Gap Seop Sim,

More information

IMPACT OF INCREASED IMPORTS OF AGRICULTURAL PRODUCTS DUE TO FTAS ON DOMESTIC PRICE DECLINE *

IMPACT OF INCREASED IMPORTS OF AGRICULTURAL PRODUCTS DUE TO FTAS ON DOMESTIC PRICE DECLINE * Journal of Rural Development 40(Special Issue): 105~123 105 IMPACT OF INCREASED IMPORTS OF AGRICULTURAL PRODUCTS DUE TO FTAS ON DOMESTIC PRICE DECLINE * JEONG MIN-KOOK ** MOON HANPIL *** SONG WOO-JIN ****

More information

Half-pitch 15-nm metal wire circuit fabricated using directed self-assembly of PS-b-PMMA

Half-pitch 15-nm metal wire circuit fabricated using directed self-assembly of PS-b-PMMA Half-pitch 15-nm metal wire circuit fabricated using directed self-assembly of PS-b-PMMA Y. Seino, Y. Kasahara, H. Kanai, K. Kobayashi, H. Kubota, H. Sato, S. Minegishi, K. Miyagi, K. Kodera, N. Kihara,

More information

Imprint Lithography: Getting to the Next Level

Imprint Lithography: Getting to the Next Level Imprint Lithography: Getting to the Next Level May 26 SEMECH Litho Forum James E. Ellenson; ; Ken Kramer; im S. Hostetler; Laura King; William M. ong Hewlett-Packard Company 24 Hewlett-Packard Development

More information

Joint Implementation & Collaboration for Clean Air in East Asian Cities

Joint Implementation & Collaboration for Clean Air in East Asian Cities Overview Date September 24(Wed.) ~ 26(Fri.), 2014 9.24(Wed.) / Seoul International Research Forum on Air Quality Improvement 9.25(Thur.) / 2014 Seoul International Forum on Air Quality Improvement, / 2014

More information

Ion Irradiation Enhanced Formation and Luminescence of Silicon Nanoclusters from a-sio x

Ion Irradiation Enhanced Formation and Luminescence of Silicon Nanoclusters from a-sio x Journal of the Korean Physical Society, Vol. 39, December 2001, pp. S83 S87 Ion Irradiation Enhanced Formation and Luminescence of Silicon Nanoclusters from a-sio x Yohan Sun, Se-Young Seo and Jung H.

More information

W-15wt%Cu

W-15wt%Cu - 2 1 *1-1 -2 * elt_ahmadi@alum.sharif.edu (1390/03/28 : 1389/12/23 : ) W-15wt%Cu. 3 2 1 /. 1250 1200 1150 1100 90 15 750 700 650. 60.. 63. 445 72/9 90 700 16/1-60 1200. :. - 7. (1) -.[4-9] -1-3 1 2 5.[1-7]

More information

Jung-Yong Lee EDUCATION PUBLICATIONS

Jung-Yong Lee EDUCATION PUBLICATIONS Jung-Yong Lee Assistant Professor Graduate School of EEWS (Energy, Environment, Water, and Sustainability) 291 Daehak-ro, Yuseong-gu, Daejeon 305-701, Korea Office: +82-42-350-1722 Fax: +82-42-350-2248

More information

Cyclic Testing of the Column-tree Type and the WUF-B Weak-axis Steel Moment Connections

Cyclic Testing of the Column-tree Type and the WUF-B Weak-axis Steel Moment Connections Cyclic Testing of the Column-tree Type and the WUF-B Weak-axis Steel Moment Connections Kangmin Lee, Rui Li & Liuyi Chen Chungnam National University, Korea Kyoung Hwan Oh Samsung Construction and Trading,

More information

Recent Advances in REBCO Coated Conductors via the RCE-DR process

Recent Advances in REBCO Coated Conductors via the RCE-DR process ALCA-JST International Workshop Mar. 7-9, 2016 @ Osaka, Japan Recent Advances in REBCO Coated Conductors via the RCE-DR process Sang-Im Yoo Department of Materials Science & Engineering & Research Institute

More information

Non-Conductive Adhesive (NCA) Trapping Study in Chip on Glass Joints Fabricated Using Sn Bumps and NCA

Non-Conductive Adhesive (NCA) Trapping Study in Chip on Glass Joints Fabricated Using Sn Bumps and NCA Materials Transactions, Vol. 49, No. 9 (2008) pp. 2100 to 2106 #2008 The Japan Institute of Metals Non-Conductive Adhesive (NCA) Trapping Study in Chip on Glass Joints Fabricated Using Sn Bumps and NCA

More information

1500 Series. EMD PeRFoRmaNce MaTeRIaLs. technical datasheet. Positive Tone Photoresists APPLICATION TYPICAL PROCESS. SPIN CURVES (150mm wafers)

1500 Series. EMD PeRFoRmaNce MaTeRIaLs. technical datasheet. Positive Tone Photoresists APPLICATION TYPICAL PROCESS. SPIN CURVES (150mm wafers) EMD PeRFoRmaNce MaTeRIaLs technical datasheet AZ 1500 Series Positive Tone Photoresists APPLICATION General purpose positive tone photoresists featuring excellent substrate adhesion for demanding wet etch

More information

CURRICULUM VITAE. Moon Hyung Jang

CURRICULUM VITAE. Moon Hyung Jang CURRICULUM VITAE Moon Hyung Jang Institute of Physics and Applied Physics, Yonsei University 134 Sinchon-dong, Seodaemoon-Gu, Seoul 120-749, KOREA Tel : 82-10-9822-7246, Fax : 82-2-392-1592 E-mail : ppicsari@yonsei.ac.kr

More information

Micro- and Nano-Technology... for Optics

Micro- and Nano-Technology... for Optics Micro- and Nano-Technology...... for Optics 3.2 Lithography U.D. Zeitner Fraunhofer Institut für Angewandte Optik und Feinmechanik Jena Electron Beam Column electron gun beam on/of control magnetic deflection

More information

UV5 POSITIVE DUV PHOTORESIST For DUV Applications

UV5 POSITIVE DUV PHOTORESIST For DUV Applications UV5 POSITIVE DUV PHOTORESIST For DUV Applications DESCRIPTION UV5 positive DUV photo resist has been optimized to provide vertical profile imaging of isolated and semidense features for device production

More information

A Study on the de-nox Activity of V2O5-Sb2O3/TiO2 SCR Catalyst at Low Temperature According to the Wash Coating Conditions

A Study on the de-nox Activity of V2O5-Sb2O3/TiO2 SCR Catalyst at Low Temperature According to the Wash Coating Conditions A Study on the de-nox Activity of V2O5-Sb2O3/TiO2 SCR Catalyst at Low Temperature According to the Wash Coating Conditions Woo-Jin Na, Young-Jin Cho, Hea-Kyung Park* Research Institute of catalyst Technology,

More information

Continuous Casting Consortium Annual Report. University of Illinois, August 16, USB -Drive - Table of Contents

Continuous Casting Consortium Annual Report. University of Illinois, August 16, USB -Drive - Table of Contents Continuous Casting Consortium Annual Report University of Illinois, August 16, 2012 USB -Drive - Table of Contents 1. B.G. Thomas: Overview of projects 2. R. Liu Slide-gate Dithering Effect on Transient

More information

Original Publication: International Journal of High-Rise Buildings Volume 6 Number 3

Original Publication: International Journal of High-Rise Buildings Volume 6 Number 3 ctbuh.org/papers Title: Authors: Subject: Keywords: Preliminary Design of Structural Health Monitoring for High-Rise Buildings Hyun Hee Ryu, Structural Design Unit I, CS Structural Engineering Jong Soo

More information

Mask Cleaning Strategies Particle Elimination with Minimal Surface Damage

Mask Cleaning Strategies Particle Elimination with Minimal Surface Damage Mask Cleaning Strategies Particle Elimination with Minimal Surface Damage Steve Osborne a, Matthias Nanninga b, Hidekazu Takahashi a, Eric Woster a Carl Kanda a, John Tibbe b a Sigmameltec Ltd, 3-37-7

More information

Blisters formation mechanism during High Dose Implanted Resist Stripping

Blisters formation mechanism during High Dose Implanted Resist Stripping Blisters formation mechanism during High Dose Implanted Resist Stripping Marion Croisy a,b,c*, Cécile Jenny a, Claire Richard a, Denis Guiheux a, Sylvain Joblot a, Alain Campo b, Erwine Pargon c, Nicolas

More information

Photolithography Process Technology

Photolithography Process Technology Contents Photolithography Process - Wafer Preparation - Photoresist Coating - Align & Expose - Photoresist Development Process Control CD Measurement Equipment Expose System & Wafer Track Consumables Chemicals

More information