Use of SU-8 Negative Photoresist for Optical Mask Manufacturing

Size: px
Start display at page:

Download "Use of SU-8 Negative Photoresist for Optical Mask Manufacturing"

Transcription

1 Use of SU-8 Negative Photoresist for Optical Mask Manufacturing Alexei L. Bogdanov * MAX-Lab, University of Lund, SE , Lund, Sweden ABSTRACT The requirements for better control, linearity, and uniformity of critical dimension (CD) on photomasks in fabrication of 180 and 150 nm generation devices result in increasing demand for thinner, more etching durable, and more sensitive e- beam resists. Novolac based resists with chemical amplification have been a choice for their sensitivity and stability during etching. However, difficult CD control due to the acid catalyzer diffusion and quite narrow post exposure bake (PEB) process window are some of the major drawbacks of these resists. SU-8 is recently introduced to the market negative photoresist. High sensitivity, fairly good adhesion properties, and relatively simple processing of SU-8 make it a good substitution for novolac based chemically amplified negative e-beam resists in optical mask manufacturing. The replacement of traditional chemically amplified resists by SU-8 can increase the process latitude and reduce resist costs. Among the obvious drawbacks of SU-8 are the use of solvent-based developer and demand of oxygen plasma for resist removal. In this paper the use of SU-8 for optical mask manufacturing is reported. All steps of resist film preparation, exposure and development are paid a share of attention. Possibilities to use reactive ion etching (RIE) with oxygen in order to increase resist mask contrast are discussed. Special exposure strategy (pattern outlining) was employed to further improve the edge definition. The resist PEB temperature and time were studied to estimate their weight in overall CD control performance. Specially designed test patterns with 0.25 µm design rule could be firmly transferred into a chromium layer both by wet etching and ion milling. Influence of exposure dose variation on the pattern CD change was studied. Keywords: Negative e-beam resist, photomask, e-beam lithography, SU-8 1. INTRODUCTION Photomasks with ever smaller and better controlled linewidth are required for deep ultra-violet (DUV) lithography exploiting phase-shifting and optical proximity correction features. The reticles are known to consume a significant part of CD error budget in 0.25 µm DUV lithography. 1 DUV lithography resolution enhancements like phase shifting and OPC require even more reduction in the mask critical-dimension error. 2 E-beam resist is of the key components in the maskmaking. The quality and accuracy of placement of the resist mask are decisive for the final reticle CD error performance, regardless of the etching method in use for the pattern transfer. Positive resists have long been used for their high contrast and resolution, non-swelling development, and possibility to quench pattern dimensions on the development stage. On the other hand negative e-beam resists were always considered as low contrast and poor resolution ones, though with somewhat higher sensitivity. Development of chemically amplified resists (CAR) with increased efficiency of crosslinking or polarity change due to the catalytic reactions during PEB made the negative resists more attractive for submicrometer resolution lithography. However, the nature of the image formation in CARs implies certain mobility of the catalyst molecules produced by the exposure. A considerable diffusion rate of the photogenerated acid can degrade the latent image in the course of PEB. 3 Therefore, for high-sensitivity CARs parameters of the PEB process such as the * Correspondence Alexei.Bogdanov@maxlab.lu.se; Web: Phone: ; Fax:

2 uniformity of heating, the temperature, duration of the PEB, and the timing of the lithographic process as a whole are crucial for the pattern edge definition and the CD control. The diffusion rate of the catalyst in the resist is mainly defined by two parameters: (1) molecular weight of the catalyst molecules (i.e. their size) and (2) viscosity of the base polymer, which is dramatically decreasing upon the heating of the polymer above its glassing transition point T g. To address the second issue epoxy functional groups have been added to low-molecular phenolic polymers to increase their ability to form the crosslinks. Photoresist SU-8 was first formulated at IBM as early as in and its first application in thick film photolithography was reported in The resist isbased on an epoxy resin with high functionality (Fig. 1). Its main lithographic Figure 1. SU-8 (glycidyl-ether-bisphenol-a novolac) polymer structure (from Ref. 3) application was so far deep optical and x-ray 6, 7 exposures to produce high aspect ratio structures for MEMS and MOEMS applications where the resist is used in very thick films (5-500 µm). As it can be seen from its formula the polymer has quite low molecular weight (~7000) and thus when non-crosslinked can easily be dissolved by a number of solvents (e.g. propylene-glycol-methyl ether (PGME), gamma-butyrol-acetone (GBL), and methyl iso-butyl ketone). Each molecule of SU-8 has ~16 epoxy functional side groups providing a very dense three dimensional network of crosslinks when the resin is cured. A triarylium-sulfonium salt based photoinitiator is converted into an acid upon the exposure. During the PEB the acid molecules react with the epoxy side groups producing radicals attached to the backbone of SU-8 molecule. Upon a crosslinking act between two such radicals the acid molecule regenerates and can induce further polymerisation. T g of unexposed SU-8 is approximately 50 o C. Such a low T g value would have prohibited the use of PEB temperatures any higher than the room temperature in order to keep the linewidth undisturbed by the acid diffusion. T g value of the polymer begins to grow rapidly with the increase of the number of crosslinks thus dramatically decreasing the acid diffusion rate. For fully crosslinked SU-8 T g exceeds 200 o C. In this way the polymerisation process is contained in the areas of the resist where the initial acid concentration was exceeding certain threshold value. Therefore, SU-8 resist possesses a threshold exposure behaviour, which in other words means a high contrast and probably high resolution. The idea of the present work was to utilise SU-8 for mask making in order to replace more expensive Shipley s SAL-601 and SAL-605 negative e-beam resists. Developing the SU-8 process we tried to use the conditions similar to those for SAL resists. The main goal was to establish all the process parameters and to investigate practical resolution limits of mask making with SU-8.

3 2. RESIST PROCESSING 2.1 Resist spinning Both antireflection oxide coated and plain chromium mask blanks were tested. Prior to spinning the blanks were washed in deionized water and gently etched with O 2 plasma (Plasmatherm Batchtop RIE machine, 250 mtorr, 50 W, 15 s) to remove possible organic contaminants. We used SU-8 5 supplied by the Microlithography Chemical Co. with a solid content of 52% diluted in two parts of GBL. Spin curve for the resist is shown in Fig. 2. The spinning was performed in two steps. On the Figure 2. Spin curve of SU-8 5 diluted by 2 parts of GBL (1:2). first step the rotation speed was set to rpm with total step time of 5 s. About 1.5 ml of resist was spilled in the beginning of the first step to coat a 4 x 4 mask. On the second step the spinner was quickly ramped up to rpm and remained at that speed for about 2 minutes. Resist thickness we used was in the range of nm 2.2 Softbake The soft bake was done at 90 o C both on a hotplate and in an oven. At this temperature the resist did not appear to be sensitive to the softbake time. However, the time had to be long enough to dry out the solvent. The drying rate was roughly estimated by observation of the film color change. Typical times of the softbake were: 3-5 min on the hotplate and min in the oven. 2.3 Exposure dose and current Resist was exposed in JEOL JBX 5DII machine at the Swedish Nanometer Laboratory at Chalmers. The beam energy was 50 kev. Sensitivity curve for the resist made with flood exposure is shown in Fig. 3. Low (6 MHz) bandwidth of the JEOL s Figure 3. Contrast curve of SU-8 exposed with 50 kev electrons; PEB: o C hotplate; Development: 5 in o C.

4 scanner did not allow us to use currents higher than 100 pa for 25 nm distance between exposed pixels the maximum distance we used for resolution limit tests. For less demanding jobs writing currents of up to 5 na were used. 2.4 Post-exposure bake To be able to tell what temperature range could be used for PEB we conducted a simple experiment. 8 Undiluted SU-8 5 was spun on a silicone wafer to obtain µm thick films. The film was then softbaked on a hotplate at 90 o C for about an hour to remove the solvent. After that resist samples without being exposed were postbaked for 10 min each on the hotplate at different temperatures. Eventually, the samples were etched in pure GBL and the time of complete dissolution was recorded. The plot of the cleaning time vs. PEB temperature is shown in Fig. 4. The onset of the beginning of the cleaning Figure 4. Cleaning time vs. PEB temperature for unexposed 25 µm thick SU-8. time rise was observed at approximately 133 o C. This experiment shows that the temperature of thermally initiated crosslinking lays above 130 o C and that it will be quite safe to undertake PEB at the temperatures below that point. Although PEB with elevated temperatures might give higher resist sensitivities for low resolution jobs, increased diffusion rate of the acid may result in poorer linewidth reproduction unacceptable for fine line lithography. Our PEB routine was the following: Exposed masks were placed into 90 o C oven on a pair of thin supporting NiCr wires to avoid direct contact with the hot parts of the oven and to ensure that the temperature of the plate would rise gradually. The smooth PEB temperature ramp provides suitable conditions for the mentioned in Section 1 mechanism of acid containing in crosslinked polymer matrix. In the case of PEB on the hotplate another technique was employed to achieve the smooth temperature ramping. The mask was put onto a massive metal spacer kept at room temperature before PEB. The spacer with the mask on it was then placed on the 90 o C hotplate. The monitoring of the hotplate temperature showed that the heat equilibrium conditions were settling in about 45 s after PEB start. The masks were kept on the hotplate for 10 minutes after the temperature had settled. Mask cooling after PEB did not seem to require any special precautions. 2.5 Development Both GBL and PGME were used as developers. Although for thick SU-8 films (thicker than 5 µm) used in photo- or x-ray lithography GBL produced better results, 8 for thin (< 200 nm) films the performance of both solvents appeared to be the same. All development work was done at 23 o C. Sufficient development times were 3-5 min, though development for 10-15

5 min did not produce any significant change in the resist masks appearance. After development the plates were rinsed in a fresh developer and dried with N 2 flush. 2.6 Plasma processing To achieve the best resolution the edge profiles of the resist masks were enhanced by reactive ion etching (RIE) process. The process is illustrated in Fig.5(a, b). Partially crosslinked resist remains after development near the exposed pattern edges forming some kind of a skirt of sparse polymer. In the case of dense pattern like in Fig. 5(a) these skirts overlap totally covering the spaces between the line features. If no exposure correction was used the edge offset resulting from the skirt formation could be as much as 0.2 µm. To get rid of the skirts RIE process using a mixture of Ar and O 2 (4:6) was developed. Ar was added to provide mechanical milling action to remove Cr oxide forming in O 2 plasma in open mask areas. Total gas pressure was 150 mtorr and RF power 50 W. For uncorrected exposure with high e-beam current the best results were obtained when resist films were thinned by 25-30% of their initial thickness. However, the amount of etching strongly depended on the e-beam sharpness and in the case of exposing with narrow low-current e-beam and a special writing strategy (see Section 3) only a very slight plasma ashing of the masks prior to the Cr etching was necessary. a d Ar + b RIE c Cr etch Figure 5. Process of mask making with negative resist: a) exposed and developed resist film; b) thinned resist mask after RIE; c) mask after Cr wet etching. Another RIE step for resist removal is required; d) Ar + ion milling. Resist is removed in the process. RIE step is omitted. Legend: 1 - Glass substrate; nm Cr layer; 3 - ideal resist profile; 4 - crosslinked resist; 5 - partly crosslinked resist. 2.7 Chromium etching Standard Balzers #4 yellow Cr etcher was diluted to prolong Cr cleaning time to minutes. This was done to make the detection of the etching endpoint more accurate and thus to minimize etching undercut. In the course of the process the etcher was well stirred to ensure the homogeneity of etching. After the etching masks were rinsed with deionized water and an oxygen RIE resist stripping was finally performed.

6 2.8 Ion milling The Ar + ion milling was applied in order to eliminate undercut of Cr features resulting from the isotropic wet etching process (Fig. 5c). Oxford Instruments ion milling machine was used. The process parameters list is presented in Table 1. Table 1. Ion milling process parameters Background pressure Process pressure Energy of Ar + ions < mbar mbar 500 V Ion current density 0.25 ma/cm 2 Ion beam angle of incidence at the mask 86 o The masks were rotated under slightly inclined ion beam to minimize building up of the fences produced by Cr redeposition onto the resist walls. The rate of Cr milling in our conditions was measured to be 60 Å/min. For SU-8 the figure was almost the same. As a result SU-8 masks with initial thickness of nm were completely removed in the process of milling thus eliminating the need in resist striping. The main disadvantage of the method besides of its higher cost is the rounding of the Cr mask edges (Figures 5d and 9a) as the resist mask shape is partially transferred into Cr during the milling. 3. EXPOSURE STRATEGY 3.1 Enhancement of SU-8 resist edges The quality of resist mask edges is of crucial importance for CD control. Due to the proximity effect in e-beam exposure absorbed in the resist dose is slightly smaller near the boundaries of the exposed area. For negative resist that would result in reduced resist mask thickness and increased resist porosity at the mask edges. If wet etching of Cr is used the resulting Cr pattern has quite rough edges and CD reproducibility is poor. The problem may be solved by applying a proximity effect correction algorithm (PCA) that would iteratively calculate the exposure dose profile to produce an optimal distribution. However, even the most sophisticated and quick of the PCAs take tremendous amount of processing time, specially when the patterns to be corrected are irregular. Another drawback of using the automatic correction is a huge amount of exposure data produced by the PCAs, which has to be stored and than fed through the data-path of a lithography system. Nevertheless, with a moderate proximity effect and using an e-beam system with a sharp Gaussian electron beam with the beam diameter maximum allowable CD error, quite a trivial method of resist edge enhancement can be used. The mask features are first exposed with the dose Q A corresponding to the flood exposure sensitivity of the resist on a given substrate. That results in a slight underexposure at the edges and in small insulated features (Fig. 6). Then the edges are again exposed (outlined) using a single beam path exposure mode. The dose of additional line exposure Q L is high enough to produce a narrow line of the exposed resist even if drawn separated. As a result of such exposure the very edges of the resist mask will be well overexposed and thus the density of the crosslinks as well as the T g of the polymer at the edges (darker resist areas in Fig. 6) will be higher and the rate of the catalyst molecules diffusion lower than in the rest of the polymer. The situation may be regarded as an erection of fences around the exposed areas to prevent escape of the acid catalyst to unexposed parts of the resist during the post-exposure bake.

7 Resist mask profile without enhancement Additional exposure Dose / Resist thickness Q L Q A Standard dose profile H nm Enhanced resist profile Distance x 0 x 1 x 2 Figure 6. Negative resist edge enhancement by outlining. H 0 is nominal resist thickness and x 0,..x 2 are positions of exposed area boundaries. 3.2 Test mask design The test mask design is shown in Figure 7. Apart of the wedge-like features the mask pattern is an assembly of elementary squares with the size D the same size as the step of the grid the squares are placed on. Therefore, D represents characteristic dimension or design rule of the mask. In our experiments with SU-8 we used test masks with D = 0.05, 0.1, 0.25, 0.5, and 1 µm. The features on the mask are designed so that any failures in the lithographic process such as inappropriate exposure 20. D Figure 7. Test mask design. D is characteristic dimension of the mask

8 dose, poor resolution of the tool, uneven exposure due to the proximity effect, erroneous etching parameters, and others will be revealed observing resulting masks. The wedge structures in the center of the mask and below can be used for prompt estimation of ultimate resolution of the lithography. The optimal process conditions can be checked by comparing the lengths of the vertical bright and horizontal dark wedges. The closer are the lengths the better is the process balance. For more accurate estimation checking should be done on the masks with different values of D. 4. RESULTS Two sets of samples were produced. The firs set (Figures 8 and 9) was exposed with 50 kev electrons with doses ranging from 1 µc/cm 2 to 8 µc/cm 2. Moderate edge enhancement exposures were applied. This allowed to find exposure conditions at which test masks with D = 0.25 µm could have been reliably reproduced in 100 nm thick resist (Fig. 8) with quite a low dose (< 2 µc/cm 2 ) *. Unfortunately, resist masks were too porous to be used in wet etching. Instead Ar + milling was applied as described in the Section 2. During the milling resist was almost completely removed as it is shown in Fig. 5d. The resulting Cr structures are presented in Figure 9. Obviously, masks with D 0.25 µm came out well. Very small size (~100nm) isolated dots can be seen in the top-right of Fig. 9b., though as a whole, the mask with D = 0.1 µm did not look well already after the lithography step. The micrograph of 45 o tilted mask in Figure 9a gives some impression of the shape of Cr mask profile. The side walls seem to be almost vertical and the tops of the metal lines are rounded. The second set of masks was produced with strong outlining (Section 3, Fig. 6), RIE profile enhancement, and wet etching of Cr (Section 2, Fig. 5 a, b, c). The results are presented in Figures 10 and 11. The exposure doses between 3 and 3.5µC/cm 2 with approximately 0.1 nc/cm outlining dose were found to be optimal. As with the ion milling the structures with D 0.25 µm were of sufficient quality. The maximum edge roughness was estimated as nm. Structures with D = 0.25 µm exposed to different doses varying ±20% from the optimum were examined in order to estimate the process stability against exposure dose variation. It was found that the linewidth changed for approximately 70 nm when exposure dose changed from Q 0-25% to Q 0 +21% (Fig. 11). It was also noticed that overexposure in excess of ~ 30% did not result in a dramatic increase of linewidth but rather produced a harder "skirt" more difficult to remove by RIE. 5. CONCLUSION SU-8 resist was tested for optical mask making. The process conditions were similar to those used with other chemically amplified negative e-beam resists. Reliable reproduction of structures with minimum feature size of 0.25 mm was possible using both Ar + ion milling and wet Cr etching. E-beam exposure was organized in such a way that the edges of the resist mask were exposed to much higher dose than the rest of the resist. Such exposure profile helps to contain acid catalyst molecules in the exposed areas increasing the edge contrast. A RIE process was introduced to remove partially crosslinked resist from the areas adjacent to the resist edges. The linewidth stability against the exposure dose variation was shown to be very good. The resist processing appears to be quite easy and the process window is wide. So if one can make it up with the need to use a solvent developer and plasma striping, SU-8 can be a negative resist of choice for practical mask making with e-beam. * Compare with 7-12 µc/cm 2 for 50 kv - the dose we use in mask-making with SAL-601.

9 a D = 0.05 µm a D = 0.25 µm b D = 0.1 µm b D = 0.1 µm c D =0.25 µm c D =0.25 µm d D = 0.5 µm d D = 0.5 µm e D = 1 µm Figure 9. Cr masks produced by ion milling of the structures shown in Fig.8. e D = 1 µm Figure 8. SEM photos of SU-8 structures on Cr surface. D is the design rule for the test masks. QA = 1.6 µc/cm2, QL = nc/cm, I = 100 pa

10 a D = 0.1 µm a Q0 25% b D = 0.25 µm b Q0 10% c D =0.5 µm c Q0 +10% d D = 1 µm d Q0 +21% Figure 10. Cr structures produced by wet etching with RIE pre-shaping of the resist. * Figure 11. Fragment of wet etched Cr mask with D = 0.25 µm. Exposed with various doses. Q0 = (3.2µC/cm2, 0.1 nc/cm)*. The difference between the linewidths in (a) and (d) is ~70 nm The first of the two doses is the area exposure dose and the second is the line exposure dose used for the edge outlining

11 ACKNOWLEDGEMENTS The work was conducted as a part of TFR (Swedish National Council for Engineering Sciences) contract. Author is deeply grateful to the staff of the Swedish Nanometer Laboratory at Chalmers University of Technology in Göteborg for having opportunity to use the lab equipment. SEM time for inspection was kindly provided by the Nanometer Laboratory at the Department of Solid State Physics of Lund University. REFERENCES 1. Kuijten, J. P.; Duray, F.; Kinderen T. D., "Analysis of Reticle Contributions to CD Uniformity for 0.25 mm DUV Lithography," Proc. SPIE-Int. Soc. Eng. 1998, 3334, Wong, A. F.; Ferguson, R.A.; Liebmann, L. W.; Mansfield, S. M.; Molless, A. F.; Neisser, M. O., "Lithographic Effects of Mask Critical Dimension Error," Proc. SPIE-Int. Soc. Eng. 1998, 3334, J. M. Shaw, J. D. Gelorme, N. C. LaBianca, W. E. Conley, and S. J. Holmes, Negative photoresists for optical lithography, IBM Journal of Research and Development, 41(1997), pp H. Ito and C. G. Willson, Proceedings of the SPE Regional Technical Conference on Photopolymers, Society of Plastics Engineers, November 1982, p N. LaBianca, and J. Gelorme, High aspect ratio resist for thick film applications, in Proc. SPIE vol. 2438, SPIE, (1995), pp N. C. LaBianca and J. D. Gelorme, "High-Aspect-Ratio Resist for Thick Film Application," SPE Conference, Society of Plastics Engineers, November A. L. Bogdanov and S. S. Peredkov, Use of SU-8 photoresist for very high aspect ratio x-ray lithography, Proceedings of Micro-, Nano-engineering 99, Rome (1999)

Photolithography I ( Part 2 )

Photolithography I ( Part 2 ) 1 Photolithography I ( Part 2 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Processing guidelines. Negative Tone Photoresists mr-ebl 6000

Processing guidelines. Negative Tone Photoresists mr-ebl 6000 Characteristics Processing guidelines Negative Tone Photoresists mr-ebl 6000 mr-ebl 6000 is a chemically amplified negative tone photoresist for the use in micro- and nanoelectronics. - Electron beam sensitive

More information

NANOMETER AND HIGH ASPECT RATIO PATTERNING BY ELECTRON BEAM LITHOGRAPHY USING A SIMPLE DUV NEGATIVE TONE RESIST

NANOMETER AND HIGH ASPECT RATIO PATTERNING BY ELECTRON BEAM LITHOGRAPHY USING A SIMPLE DUV NEGATIVE TONE RESIST NANOMETER AND HIGH ASPECT RATIO PATTERNING BY ELECTRON BEAM LITHOGRAPHY USING A SIMPLE DUV NEGATIVE TONE RESIST H. Elsner and H.-G. Meyer Institute for Physical High Technology (IPHT), Dept. of Cryoelectronics,

More information

micro resist technology

micro resist technology Characteristics Processing guidelines Negative Tone Photoresist Series ma-n 2400 ma-n 2400 is a negative tone photoresist series designed for the use in micro- and nanoelectronics. The resists are available

More information

Processing guidelines. Negative Tone Photoresist Series ma-n 2400

Processing guidelines. Negative Tone Photoresist Series ma-n 2400 Characteristics Processing guidelines Negative Tone Photoresist Series ma-n 2400 ma-n 2400 is a negative tone photoresist series designed for the use in micro- and nanoelectronics. The resists are available

More information

micro resist technology

micro resist technology Characteristics Processing guidelines Negative Tone Photoresist Series ma-n 1400 ma-n 1400 is a negative tone photoresist series designed for the use in microelectronics and microsystems. The resists are

More information

UV15: For Fabrication of Polymer Optical Waveguides

UV15: For Fabrication of Polymer Optical Waveguides CASE STUDY UV15: For Fabrication of Polymer Optical Waveguides Master Bond Inc. 154 Hobart Street, Hackensack, NJ 07601 USA Phone +1.201.343.8983 Fax +1.201.343.2132 main@masterbond.com CASE STUDY UV15:

More information

Supporting Information

Supporting Information Supporting Information The adhesion circle: A new approach to better characterize directional gecko-inspired dry adhesives Yue Wang, Samuel Lehmann, Jinyou Shao and Dan Sameoto* Department of Mechanical

More information

Introduction to Nanoscience and Nanotechnology

Introduction to Nanoscience and Nanotechnology Introduction to Nanoscience and Nanotechnology ENS 463 2. Principles of Nano-Lithography by Alexander M. Zaitsev alexander.zaitsev@csi.cuny.edu Tel: 718 982 2812 Office 4N101b 1 Lithographic patterning

More information

EE 527 MICROFABRICATION. Lecture 15 Tai-Chang Chen University of Washington EE-527 M4 MASK SET: NPN BJT. C (sub) A E = 40 µm x 40 µm

EE 527 MICROFABRICATION. Lecture 15 Tai-Chang Chen University of Washington EE-527 M4 MASK SET: NPN BJT. C (sub) A E = 40 µm x 40 µm EE 527 MICROFABRICATION Lecture 15 Tai-Chang Chen University of Washington EE-527 M4 MASK SET: NPN BJT C (sub) E B A E = 40 µm x 40 µm 1 EE-527 M4 MASK SET: MOS C-V TEST CAPACITORS W = 10 µm L = 10 µm

More information

GLM General information. Technical Datasheet

GLM General information. Technical Datasheet GLM 2060 Nanocomposite SU-8-negative tone photo-epoxy for layers from 6.0 to 50µm Technical Datasheet Gersteltec Sarl. Générale Guisan 26, 1009, Pully Switzerland Switzerland / Israel / Taiwan Contact:

More information

Microelectronic Device Instructional Laboratory. Table of Contents

Microelectronic Device Instructional Laboratory. Table of Contents Introduction Process Overview Microelectronic Device Instructional Laboratory Introduction Description Flowchart MOSFET Development Process Description Process Steps Cleaning Solvent Cleaning Photo Lithography

More information

Photolithography Process Technology

Photolithography Process Technology Contents Photolithography Process - Wafer Preparation - Photoresist Coating - Align & Expose - Photoresist Development Process Control CD Measurement Equipment Expose System & Wafer Track Consumables Chemicals

More information

Sub-5 nm Structures Process Development and Fabrication Over Large Areas

Sub-5 nm Structures Process Development and Fabrication Over Large Areas A S Jugessur,, 2017, 1:1 SciFed Nanotech Research Letters Research Article Open Access Sub-5 nm Structures Process Development and Fabrication Over Large Areas * A S Jugessur * University of Iowa Microfabrication

More information

Processing guidelines

Processing guidelines Processing guidelines mr-uvcur21 series UV-curable Polymer for UV-based Nanoimprint Lithography Characteristics mr-uvcur21 is a liquid UV-curable polymer system with low viscosity and high curing rate

More information

Advanced Polymers And Resists For Nanoimprint Lithography

Advanced Polymers And Resists For Nanoimprint Lithography Q U A L I T Y A S S U R A N C E MICROSYSTEMS & NANOSYSTEMS SPECIAL REPORT Advanced Polymers And Resists For Nanoimprint Lithography Numerous polymer systems specifically designed for nanoimprint lithography

More information

UV5 POSITIVE DUV PHOTORESIST For DUV Applications

UV5 POSITIVE DUV PHOTORESIST For DUV Applications UV5 POSITIVE DUV PHOTORESIST For DUV Applications DESCRIPTION UV5 positive DUV photo resist has been optimized to provide vertical profile imaging of isolated and semidense features for device production

More information

BEFORE you can do any resist processing, you must be familiar with the chemicals you will be using, and know and respect the dangers of them.

BEFORE you can do any resist processing, you must be familiar with the chemicals you will be using, and know and respect the dangers of them. Overview Any e-beam exposure is highly dependant upon processing and the substrate. This information is provided as a starting point and will required experimentation to optimize things for your work.

More information

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process. Data Package

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process. Data Package AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process Data Package AZ BARLi II Coating Material Features, Process, and Performance AZ s bottom antireflective coating material,

More information

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process Data Package

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process Data Package AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process Data Package The information contained herein is, as far as we are aware, true and accurate. However, no representations

More information

All fabrication was performed on Si wafers with 285 nm of thermally grown oxide to

All fabrication was performed on Si wafers with 285 nm of thermally grown oxide to Supporting Information: Substrate preparation and SLG growth: All fabrication was performed on Si wafers with 285 nm of thermally grown oxide to aid in visual inspection of the graphene samples. Prior

More information

UV5 POSITIVE DUV PHOTORESIST For Microlithography Applications

UV5 POSITIVE DUV PHOTORESIST For Microlithography Applications UV5 POSITIVE DUV PHOTORESIST For Microlithography Applications DESCRIPTION UV5 positive DUV photoresist has been optimized to provide vertical profile imaging of isolated and semidense features for device

More information

Micro- and Nano-Technology... for Optics

Micro- and Nano-Technology... for Optics Micro- and Nano-Technology...... for Optics 3.2 Lithography U.D. Zeitner Fraunhofer Institut für Angewandte Optik und Feinmechanik Jena Electron Beam Column electron gun beam on/of control magnetic deflection

More information

UV6 POSITIVE DUV PHOTORESIST For DUV Applications

UV6 POSITIVE DUV PHOTORESIST For DUV Applications UV6 POSITIVE DUV PHOTORESIST For DUV Applications DESCRIPTION UV6 Positive DUV Photoresist has been optimized to provide vertical profile imaging of dense and semi-isolated features for device production

More information

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller Webpage: http://www.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2003) Fabrication Technology, Part I Agenda: Oxidation, layer deposition (last lecture) Lithography Pattern Transfer (etching) Impurity Doping Reading: Senturia,

More information

Screen Printing of Highly Loaded Silver Inks on. Plastic Substrates Using Silicon Stencils

Screen Printing of Highly Loaded Silver Inks on. Plastic Substrates Using Silicon Stencils Supporting Information Screen Printing of Highly Loaded Silver Inks on Plastic Substrates Using Silicon Stencils Woo Jin Hyun, Sooman Lim, Bok Yeop Ahn, Jennifer A. Lewis, C. Daniel Frisbie*, and Lorraine

More information

MCC. PMGI Resists NANO PMGI RESISTS OFFER RANGE OF PRODUCTS

MCC. PMGI Resists NANO PMGI RESISTS OFFER RANGE OF PRODUCTS MCC PMGI RESISTS OFFER Sub.25µm lift-off processing Film thicknesses from 5µm Choice of resin blends for optimal undercut control High thermal stability Superior adhesion to Si, NiFe, GaAs, InP

More information

Czochralski Crystal Growth

Czochralski Crystal Growth Czochralski Crystal Growth Crystal Pulling Crystal Ingots Shaping and Polishing 300 mm wafer 1 2 Advantage of larger diameter wafers Wafer area larger Chip area larger 3 4 Large-Diameter Wafer Handling

More information

Lithography Simulation of Sub-0.30 Micron Resist Features for Photomask Fabrication using I-line Optical Pattern Generators

Lithography Simulation of Sub-0.30 Micron Resist Features for Photomask Fabrication using I-line Optical Pattern Generators Lithography Simulation of Sub-0.30 Micron Resist Features for Photomask Fabrication using I-line Optical Pattern Generators Benjamen M. Rathsack 1, Cyrus E. Tabery 1, Cece Philbin 2 and C. Grant Willson

More information

Single-digit-resolution nanopatterning with. extreme ultraviolet light for the 2.5 nm. technology node and beyond

Single-digit-resolution nanopatterning with. extreme ultraviolet light for the 2.5 nm. technology node and beyond Electronic Supplementary Material (ESI) for Nanoscale. This journal is The Royal Society of Chemistry 205 Supplementary Information for: Single-digit-resolution nanopatterning with extreme ultraviolet

More information

MCC. LOR Lift-Off Resists LOR RESISTS OFFER TYPES OF RESISTS

MCC. LOR Lift-Off Resists LOR RESISTS OFFER TYPES OF RESISTS MCC LOR RESISTS OFFER Submicron linewidth control Finely tuned undercuts Does not intermix with imaging resists (no scum) Excellent adhesion to Si, NiFe, GaAs, InP and many other III-V and II-VI materials

More information

Supplementary Figure 1 The lithium polysulfide distribution on the patterned electrode.

Supplementary Figure 1 The lithium polysulfide distribution on the patterned electrode. Supplementary Figure 1.The lithium polysulfide distribution on the patterned electrode. SEM image of the ITO-carbon electrode after dipping into Li 2 S 8 solution and drying, which shows the random distribution

More information

Lab #2 Wafer Cleaning (RCA cleaning)

Lab #2 Wafer Cleaning (RCA cleaning) Lab #2 Wafer Cleaning (RCA cleaning) RCA Cleaning System Used: Wet Bench 1, Bay1, Nanofabrication Center Chemicals Used: H 2 O : NH 4 OH : H 2 O 2 (5 : 1 : 1) H 2 O : HF (10 : 1) H 2 O : HCl : H 2 O 2

More information

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in Chapter 5 PROCESSING OF DEVICES A discussion of crystal growth, lithography, etching, doping, and device structures is presented in the following overview gures. SEMICONDUCTOR DEVICE PROCESSING: AN OVERVIEW

More information

Dow Corning WL-5150 Photodefinable Spin-On Silicone

Dow Corning WL-5150 Photodefinable Spin-On Silicone Dow Corning WL-515 Photodefinable Spin-On Silicone Properties and Processing Procedures Introduction Dow Corning WL-515 is a silicone formulation which can be photopatterned and cured using standard microelectronics

More information

ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline:

ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline: ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline: Fabrication of p-n junctions Contact Potential Things you should know when you leave Key Questions What are the necessary steps to fabricate

More information

Deep Lithography for Microfabrication

Deep Lithography for Microfabrication Deep Lithography for Microfabrication Part 2: UV Deep Lithography (UVDL) Luiz O. S. Ferreira Mechanical Engineering Faculty Campinas State University UNICAMP Campinas SP - BRAZIL lotavio@fem.unicamp.br

More information

Introduction to Lithography

Introduction to Lithography Introduction to Lithography G. D. Hutcheson, et al., Scientific American, 290, 76 (2004). Moore s Law Intel Co-Founder Gordon E. Moore Cramming More Components Onto Integrated Circuits Author: Gordon E.

More information

SU Permanent Epoxy Negative Photoresist PROCESSING GUIDELINES FOR:

SU Permanent Epoxy Negative Photoresist PROCESSING GUIDELINES FOR: SU-8 2000 Permanent Epoxy Negative Photoresist PROCESSING GUIDELINES FOR: SU-8 2100 and SU-8 2150 www.microchem.com SU-8 2000 is a high contrast, epoxy based photoresist designed for micromachining and

More information

COMPARATIVE ASSESSMENT OF DIFFERENT SACRIFICIAL MATERIALS FOR RELEASING SU-8 STRUCTURES

COMPARATIVE ASSESSMENT OF DIFFERENT SACRIFICIAL MATERIALS FOR RELEASING SU-8 STRUCTURES Rev.Adv.Mater.Sci. Comparative assessment 10 (2005) of different 149-155 sacrificial materials for releasing SU-8 structures 149 COMPARATIVE ASSESSMENT OF DIFFERENT SACRIFICIAL MATERIALS FOR RELEASING

More information

4. Thermal Oxidation. a) Equipment Atmospheric Furnace

4. Thermal Oxidation. a) Equipment Atmospheric Furnace 4. Thermal Oxidation a) Equipment Atmospheric Furnace Oxidation requires precise control of: temperature, T ambient gas, G time spent at any given T & G, t Vito Logiudice 34 4. Thermal Oxidation b) Mechanism

More information

Advanced developer-soluble gap-fill materials and applications

Advanced developer-soluble gap-fill materials and applications Advanced developer-soluble gap-fill materials and applications Runhui Huang, Dan Sullivan, Anwei Qin, Shannon Brown Brewer Science, Inc., 2401 Brewer Dr., Rolla, MO, USA, 65401 ABSTRACT For the via-first

More information

CHAPTER 9 AFM PROFILING AND NANOLITHOGRAPHY WITH NEEDLE-TIPPED CANTILEVERS

CHAPTER 9 AFM PROFILING AND NANOLITHOGRAPHY WITH NEEDLE-TIPPED CANTILEVERS CHAPTER 9 AFM PROFILING AND NANOLITHOGRAPHY WITH NEEDLE-TIPPED CANTILEVERS Since Ag 2 Ga nanoneedles can be directly grown on (or even in place of) the tips on AFM cantilevers using the pulling technique

More information

MCC. NANO PMMA and Copolymer

MCC. NANO PMMA and Copolymer MCC PRODUCT ATTRIBUTES NANO and Submicron linewidth control (polymethyl methacrylate) is a versatile polymeric material that is well suited Sub 0.1µm imaging for many imaging and non-imaging microelectronic

More information

Supporting Information: Model Based Design of a Microfluidic. Mixer Driven by Induced Charge Electroosmosis

Supporting Information: Model Based Design of a Microfluidic. Mixer Driven by Induced Charge Electroosmosis Supporting Information: Model Based Design of a Microfluidic Mixer Driven by Induced Charge Electroosmosis Cindy K. Harnett, Yehya M. Senousy, Katherine A. Dunphy-Guzman #, Jeremy Templeton * and Michael

More information

Introduction to Micro/Nano Fabrication Techniques. Date: 2015/05/22 Dr. Yi-Chung Tung. Fabrication of Nanomaterials

Introduction to Micro/Nano Fabrication Techniques. Date: 2015/05/22 Dr. Yi-Chung Tung. Fabrication of Nanomaterials Introduction to Micro/Nano Fabrication Techniques Date: 2015/05/22 Dr. Yi-Chung Tung Fabrication of Nanomaterials Top-Down Approach Begin with bulk materials that are reduced into nanoscale materials Ex:

More information

INTERVIA BPP-10 Photoresist

INTERVIA BPP-10 Photoresist Technical Data Sheet INTERVIA BPP-10 Photoresist For Advanced Packaging Applications Description Regional Product Availability Advantages INTERVIA BPP-10 Photoresist is a general-purpose, multi-wavelength

More information

RIE lag in diffractive optical element etching

RIE lag in diffractive optical element etching Microelectronic Engineering 54 (2000) 315 322 www.elsevier.nl/ locate/ mee RIE lag in diffractive optical element etching Jyh-Hua Ting *, Jung-Chieh Su, Shyang Su a, b a,c a National Nano Device Laboratories,

More information

Patterning Capability and Limitations by Pattern Collapse in 45nm and below Node Photo Mask Production

Patterning Capability and Limitations by Pattern Collapse in 45nm and below Node Photo Mask Production Patterning Capability and Limitations by Pattern Collapse in 4nm and below Node Photo Mask Production Guen-Ho Hwang, Manish Patil, Soon-Kyu Seo, Chu-Bong Yu, Ik-Boum Hur, Dong Hyun Kim, Cheol Shin, Sung-Mo

More information

Lecture 4 Lithography II

Lecture 4 Lithography II F. G. Tseng Lec4, Fall/2016, p1 Lecture 4 Lithography II!! Resist types 1.!Optical negative resist a.!polymer get cross link after exposure b.!developer is usually solvent (xylene ( ), toluene ( ), halogenated

More information

AR NEWS 24 nd issue, April 2012

AR NEWS 24 nd issue, April 2012 AR NEWS 24 nd issue, April 2012 Allresist GmbH Content: 1. Allresist is now employee champion and applies for the Ludwig Erhard price 2. Results of the new e-beam resist AR-N 7520 3. NIR-coatings in development

More information

SU Permanent Epoxy Negative Photoresist PROCESSING GUIDELINES FOR:

SU Permanent Epoxy Negative Photoresist PROCESSING GUIDELINES FOR: SU-8 2000 Permanent Epoxy Negative Photoresist PROCESSING GUIDELINES FOR: SU-8 2000.5, SU-8 2002, SU-8 2005, SU-8 2007, SU-8 2010 and SU-8 2015 SU-8 2000 is a high contrast, epoxy based photoresist designed

More information

Schematic creation of MOS field effect transistor.

Schematic creation of MOS field effect transistor. Schematic creation of MOS field effect transistor. Gate electrode Drain electrode Source electrode Gate oxide Gate length Page 1 Step 0 The positively doped silicon wafer is first coated with an insulating

More information

Technical Data Sheet Technisches Datenblatt

Technical Data Sheet Technisches Datenblatt AZ ECI 3000 Photoresist Universal i-line/crossover Photoresist Series GENERAL INFORMATION AZ ECI 3000 photoresist series are a family of fast positive resists with high resolution capabilities (0.4 µm

More information

SU Permanent Epoxy Negative Photoresist PROCESSING GUIDELINES FOR:

SU Permanent Epoxy Negative Photoresist PROCESSING GUIDELINES FOR: SU-8 2000 Permanent Epoxy Negative Photoresist PROCESSING GUIDELINES FOR: SU-8 2025, SU-8 2035, SU-8 2050 and SU-8 2075 SU-8 2000 is a high contrast, epoxy based photoresist designed for micromachining

More information

EE 5344 Introduction to MEMS. CHAPTER 3 Conventional Si Processing

EE 5344 Introduction to MEMS. CHAPTER 3 Conventional Si Processing 3. Conventional licon Processing Micromachining, Microfabrication. EE 5344 Introduction to MEMS CHAPTER 3 Conventional Processing Why silicon? Abundant, cheap, easy to process. licon planar Integrated

More information

9-11 April 2008 Micro-electroforming Metallic Bipolar Electrodes for Mini-DMFC Stacks

9-11 April 2008 Micro-electroforming Metallic Bipolar Electrodes for Mini-DMFC Stacks 9-11 April 8 Micro-electroforming Metallic Bipolar Electrodes for Mini-DMFC Stacks R. F. Shyu 1, H. Yang, J.-H. Lee 1 Department of Mechanical Manufacturing Engineering, National Formosa University, Yunlin,

More information

HIGH-ASPECT-RATIO, ULTRATHICK, NEGATIVE-TONE NEAR-UV PHOTORESIST FOR MEMS APPLICATIONS

HIGH-ASPECT-RATIO, ULTRATHICK, NEGATIVE-TONE NEAR-UV PHOTORESIST FOR MEMS APPLICATIONS HGH-ASPECT-RATO, ULTRATHCK, NEGATVE-TONE NEAR-UV PHOTORESST FOR MEMS APPLCATONS M. Despont', H. Lorenz2, N. Fahrni2, J. Bruggerl, P. Renaud2 and P. Vettiger' lbm Research Division, Zurich Research Laboratory,

More information

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate Development of Sidewalls Passivating Films Sidewalls get inert species deposited on them with plasma etch Creates

More information

Previous Lecture. Vacuum & Plasma systems for. Dry etching

Previous Lecture. Vacuum & Plasma systems for. Dry etching Previous Lecture Vacuum & Plasma systems for Dry etching Lecture 9: Evaporation & sputtering Objectives From this evaporation lecture you will learn: Evaporator system layout & parts Vapor pressure Crucible

More information

Lithography Tool Package

Lithography Tool Package 4. Development Thomas Anhøj and Tine Greibe Outline 1. Introduction Process steps in UV lithography 2. Spin coating Resist composition Pre-treatment Principle Softbake Spin curve 3. Exposure Hardware Process

More information

A STUDY OF THE EFFECTIVENESS OF THE REMOVAL OF HYDROCARBON CONTAMINATION BY OXIDATIVE CLEANING INSIDE THE SEM.

A STUDY OF THE EFFECTIVENESS OF THE REMOVAL OF HYDROCARBON CONTAMINATION BY OXIDATIVE CLEANING INSIDE THE SEM. A STUDY OF THE EFFECTIVENESS OF THE REMOVAL OF HYDROCARBON CONTAMINATION BY OXIDATIVE CLEANING INSIDE THE SEM. Neal Sullivan, Tung Mai, Scott Bowdoin* and Ronald Vane** A poster paper presented at Microscopy

More information

Lithography Independent Fabrication of Nano-MOS-Transistors with W = 25 nm and L = 25 nm

Lithography Independent Fabrication of Nano-MOS-Transistors with W = 25 nm and L = 25 nm Lithography Independent Fabrication of Nano-MOS-Transistors with W = 25 nm and L = 25 nm J. T. Horstmann John_Horstmann@ieee.org C. Horst Christian.Horst@udo.edu K. F. Goser goser@ieee.org Abstract The

More information

Fabrication and Layout

Fabrication and Layout ECEN454 Digital Integrated Circuit Design Fabrication and Layout ECEN 454 3.1 A Glimpse at MOS Device Polysilicon Aluminum ECEN 475 4.2 1 Material Classification Insulators Glass, diamond, silicon oxide

More information

TI 35ES image reversal resist

TI 35ES image reversal resist MicroChemicals TI 35ES technical data sheet revised 10/2003 p. 1 of 11 TI 35ES image reversal resist Technical Data Sheet revised 10/2003 General Information MicroChemicals GmbH Schillerstrasse 18 D-89077

More information

DuPont MX5000 Series

DuPont MX5000 Series DuPont MX5000 Series DATA SHEET & PROCESSING INFORMATION High Performance Multi-Purpose Polymer Film for MEMS Applications PRODUCT FEATURES/ APPLICATIONS Negative working, aqueous processable dry film

More information

Tailorable BARC system to provide optimum solutions for various substrates in immersion lithography

Tailorable BARC system to provide optimum solutions for various substrates in immersion lithography Tailorable BARC system to provide optimum solutions for various substrates in immersion lithography Michael Swope, Vandana Krishnamurthy, Zhimin Zhu, Daniel Sullivan, Sean Simmons, Chris Cox, Randy Bennett,

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

Mater. Res. Soc. Symp. Proc. Vol Materials Research Society

Mater. Res. Soc. Symp. Proc. Vol Materials Research Society Mater. Res. Soc. Symp. Proc. Vol. 940 2006 Materials Research Society 0940-P13-12 A Novel Fabrication Technique for Developing Metal Nanodroplet Arrays Christopher Edgar, Chad Johns, and M. Saif Islam

More information

Electron beam and scanning probe lithography: A comparison

Electron beam and scanning probe lithography: A comparison Electron beam and scanning probe lithography: A comparison Kathryn Wilder a) and Calvin F. Quate E. L. Ginzton Laboratory, Stanford University, Stanford, California 94305-4085 Bhanwar Singh and David F.

More information

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate Development of Sidewalls Passivating Films Sidewalls get inert species deposited on them with plasma etch Creates

More information

Dr. Priyabrat Dash Office: BM-406, Mob: Webpage: MB: 205

Dr. Priyabrat Dash   Office: BM-406, Mob: Webpage:  MB: 205 Email: dashp@nitrkl.ac.in Office: BM-406, Mob: 8895121141 Webpage: http://homepage.usask.ca/~prd822/ MB: 205 Nonmanufacturing In continuation from last class... 2 Top-Down methods Mechanical-energy methods

More information

Thermal Nanoimprinting Basics

Thermal Nanoimprinting Basics Thermal Nanoimprinting Basics Nanoimprinting is a way to replicate nanoscale features on one surface into another, like stamping copies are made by traditional fabrication techniques (optical/ebeam lith)

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 9/13/2007 Fabrication Technology Lecture 1 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world)

More information

CYCLOTENE* 4000 Series Advanced Electronic Resins (Photo BCB)

CYCLOTENE* 4000 Series Advanced Electronic Resins (Photo BCB) Revised: February 2005 CYCLOTENE* 4000 Series Advanced Electronic Resins (Photo BCB) Processing Procedures for CYCLOTENE 4000 Series Photo BCB Resins DS2100 Puddle Develop Process 1. Introduction The CYCLOTENE

More information

Technology Drivers for Plasma Prior to Wire Bonding

Technology Drivers for Plasma Prior to Wire Bonding Technology Drivers for Plasma Prior to Wire Bonding James D. Getty Nordson MARCH Concord, CA, USA info@nordsonmarch.com Technology Drivers for Plasma Prior to Wire Bonding Page 1 ABSTRACT Advanced packaging

More information

Fe 2 O 3 on patterned fluorine doped tin oxide for efficient photoelectrochemical water splitting

Fe 2 O 3 on patterned fluorine doped tin oxide for efficient photoelectrochemical water splitting Electronic Supplementary Material (ESI) for Journal of Materials Chemistry A. This journal is The Royal Society of Chemistry 2015 Fe 2 O 3 on patterned fluorine doped tin oxide for efficient photoelectrochemical

More information

Lecture #18 Fabrication OUTLINE

Lecture #18 Fabrication OUTLINE Transistors on a Chip Lecture #18 Fabrication OUTLINE IC Fabrication Technology Introduction the task at hand Doping Oxidation Thin-film deposition Lithography Etch Lithography trends Plasma processing

More information

Chapter 2 Manufacturing Process

Chapter 2 Manufacturing Process Digital Integrated Circuits A Design Perspective Chapter 2 Manufacturing Process 1 CMOS Process 2 CMOS Process (n-well) Both NMOS and PMOS must be built in the same silicon material. PMOS in n-well NMOS

More information

Hybrid BARC approaches for FEOL and BEOL integration

Hybrid BARC approaches for FEOL and BEOL integration Hybrid BARC approaches for FEOL and BEOL integration Willie Perez a, Stephen Turner a, Nick Brakensiek a, Lynne Mills b, Larry Wilson b, Paul Popa b a Brewer Science, Inc., 241 Brewer Dr., Rolla, MO 6541

More information

Basic&Laboratory& Materials&Science&and&Engineering& Micro&Electromechanical&Systems&& (MEMS)&

Basic&Laboratory& Materials&Science&and&Engineering& Micro&Electromechanical&Systems&& (MEMS)& Basic&Laboratory& Materials&Science&and&Engineering& Micro&Electromechanical&Systems&& (MEMS)& M105& As of: 27.10.2011 1 Introduction... 2 2 Materials used in MEMS fabrication... 2 3 MEMS fabrication processes...

More information

Because of equipment availability, cost, and time, we will use aluminum as the top side conductor

Because of equipment availability, cost, and time, we will use aluminum as the top side conductor Because of equipment availability, cost, and time, we will use aluminum as the top side conductor Top Side Conductor vacuum deposition Aluminum sputter deposit in Argon plasma CVC 601-sputter deposition

More information

Success story of the CSAR 62 - or a high-tech resist makes its way

Success story of the CSAR 62 - or a high-tech resist makes its way Success story of the CSAR 62 - or a high-tech resist makes its way The constant strive for more and more powerful computers is correlated with the demand for increasingly smaller structures in the manufacture

More information

Polymer-based Microfabrication

Polymer-based Microfabrication Polymer-based Microfabrication PDMS SU-8 PMMA Hydrogel 1 Soft Lithography Developed by Whitesides, et. al A set of techniques for microfabrication based on the use of lithography, soft substrate materials

More information

Photomask Fabrication: Designing of Test Structure

Photomask Fabrication: Designing of Test Structure Photomask Fabrication: Designing of Test Structure Shweta Singh 1, Mamta Mittal #, 2, Ayush Sharma 1, Aakash Saini 1 1 Dept. of Electronics and Communication Engineering Maharaja Surajmal Institute of

More information

!"#$#%&#'(() ) **+,-./01)2-,-.3)456,1) /0! **)

!#$#%&#'(() ) **+,-./01)2-,-.3)456,1) /0! **) !"#$#%&#'(() ) **+,-./01)2-,-.3)456,1) /0!7.5853-09**) Etching Removal of unwanted or non-circuit copper from board Etch resists organic and metallic resists photoresist tin, gold, nickel, silver and alloys

More information

Half-pitch 15-nm metal wire circuit fabricated using directed self-assembly of PS-b-PMMA

Half-pitch 15-nm metal wire circuit fabricated using directed self-assembly of PS-b-PMMA Half-pitch 15-nm metal wire circuit fabricated using directed self-assembly of PS-b-PMMA Y. Seino, Y. Kasahara, H. Kanai, K. Kobayashi, H. Kubota, H. Sato, S. Minegishi, K. Miyagi, K. Kodera, N. Kihara,

More information

Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process

Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process by Kozue Yabusaki * and Hirokazu Sasaki * In recent years the FIB technique has been widely used for specimen

More information

Novel Spin on Planarization Technology by Photo Curing SOC (P-SOC)

Novel Spin on Planarization Technology by Photo Curing SOC (P-SOC) Journal of Photopolymer Science and Technology Volume 3, Number 3 (17) 373-378 C 17SPST Technical Paper Novel Spin on Planarization Technology by Photo Curing (P-) Takafumi Endo*, Rikimaru Sakamoto, Keisuke

More information

Colorado School of Mines PHGN/CHGN 435. Modules 1 and 2. Authors: Garrick Johnson Trevor Haak Carl DuBois Ethan Palay

Colorado School of Mines PHGN/CHGN 435. Modules 1 and 2. Authors: Garrick Johnson Trevor Haak Carl DuBois Ethan Palay Colorado School of Mines PHGN/CHGN 435 Modules 1 and 2 Authors: Garrick Johnson Trevor Haak Carl DuBois Ethan Palay February 10, 2015 1 Introduction and Background Integrated circuits are an integral part

More information

Fabrication Process. Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation CONCORDIA VLSI DESIGN LAB

Fabrication Process. Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation CONCORDIA VLSI DESIGN LAB Fabrication Process Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation 1 Fabrication- CMOS Process Starting Material Preparation 1. Produce Metallurgical Grade Silicon

More information

Major Fabrication Steps in MOS Process Flow

Major Fabrication Steps in MOS Process Flow Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide Silicon substrate Oxidation (Field oxide) Photoresist Coating Mask-Wafer Alignment

More information

Understanding. Brewer Science

Understanding. Brewer Science Understanding ARC Products General ARC Presentation: Slide #1 Overview Anti-reflective coating introduction Types of anti-reflective coating Advantages to anti-reflective coatings Advantages to bottom

More information

Investigation of ProTEX PSB Thin Film as Photosensitive Layer for MEMS capacitive pressure sensor diaphragm based Si/SiC Wafer

Investigation of ProTEX PSB Thin Film as Photosensitive Layer for MEMS capacitive pressure sensor diaphragm based Si/SiC Wafer Investigation of ProTEX PSB Thin Film as Photosensitive Layer for MEMS capacitive pressure sensor diaphragm based Si/SiC Wafer Author Marsi, Noraini, Majlis, Burhanuddin Yeop, Hamzah, Azrul Azlan, Mohd-Yasin,

More information

Direct Analysis of Photoresist by ICP-MS. Featuring the Agilent Technologies 7500s ICP-MS

Direct Analysis of Photoresist by ICP-MS. Featuring the Agilent Technologies 7500s ICP-MS Direct Analysis of Photoresist by ICP-MS Featuring the Agilent Technologies 7500s ICP-MS 1 Presentation Outline How is photoresist used? Analytical challenges Instrumentation developments Analytical approach

More information

Journal of Advanced Mechanical Design, Systems, and Manufacturing

Journal of Advanced Mechanical Design, Systems, and Manufacturing Fabrication of the X-Ray Mask using the Silicon Dry Etching * Hiroshi TSUJII**, Kazuma SHIMADA**, Makoto TANAKA**, Wataru YASHIRO***, Daiji NODA** and Tadashi HATTORI** **Laboratory of Advanced Science

More information

Bulk MEMS Fabrication Details Dr. Lynn Fuller, Casey Gonta, Patsy Cadareanu

Bulk MEMS Fabrication Details Dr. Lynn Fuller, Casey Gonta, Patsy Cadareanu ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Bulk MEMS Fabrication Details Dr. Lynn Fuller, Casey Gonta, Patsy Cadareanu Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester,

More information

SUPPORTING INFORMATION: Collateral Advantages of a Gel Electrolyte for. Higher Voltage; Reduced Volume

SUPPORTING INFORMATION: Collateral Advantages of a Gel Electrolyte for. Higher Voltage; Reduced Volume SUPPORTING INFORMATION: Collateral Advantages of a Gel Electrolyte for MnO 2 Nanowire Capacitors: Higher Voltage; Reduced Volume Mya Le Thai, Shaopeng Qiao, Rajen K. Dutta, Gaurav Jha, Alana Ogata, Girija

More information