MOS Gate Dielectrics. Outline

Size: px
Start display at page:

Download "MOS Gate Dielectrics. Outline"

Transcription

1 MOS Gate Dielectrics Outline Scaling issues Technology Reliability of SiO 2 Nitrided SiO 2 High k dielectrics 42 Incorporation of N or F at the Si/SiO 2 Interface Incorporating nitrogen or fluorine instead of hydrogen strengthens the Si/SiO 2 interface and increases the gate dielectric lifetime because Si-F and Si-N bonds are stronger than Si-H bonds. Oxide Poly-Si Gate N or F Nitroxides Nitridation of SiO 2 by NH 3, N 2 O, NO Growth in N 2 O Improvement in reliability Barrier to dopant penetration from poly-si gate Marginal increase in K Used extensively Si substrate Fluorination Fluorination of SiO 2 by F ion implantation Improvement in reliability Increases B penetration from P + poly-si gate Reduces K Not used intentionally Can occur during processing (WF 6, BF 2 ) 43 1

2 Nitridation of SiO 2 in NH 3 H Oxidation in O 2 to grow SiO 2. RTP anneal in NH 3 maximize N at the interface and minimize bulk incorporation. Reoxidation in O 2 remove excess nitrogen from the outer surface Anneal in Ar remove excess hydrogen from the bulk Process too complex 44 Nitridation in N 2 O or NO Profile of N in SiO 2 Stress-time dependence of g m degradation of a NMOS SiO 2 Ref. Bhat et.al IEEE IEDM 1994 (Ref: Ahn, et.al., IEEE Electron Dev. Lett. Feb. 1992) The problem of H can be circumvented by replacing NH 3 by N 2 O or NO 45 2

3 Oxidation of Si in N 2 O N 2 O N 2 + O N 2 O + O 2NO Ref: Okada, et.al., Appl. Phys. Lett. 63(2), 1993 RTP oxidation shows N accumulation near the Si/SiO 2 interface Furnace oxidation shows almost uniform N profile lower Q bd 46 Dopant Penetration From Poly-Si Gate Thick gate oxide P + Poly-Si Gate B Thin gate oxide B Thin nitrided gate oxide B B in SiO 2 Si Si Si SiO X N Y Incorporation of nitrogen at the interface suppresses dopant diffusion from gate poly-si into the channel which can can cause V T shift. The problem is more serious for P + poly-si as boron diffuses more readily in SiO 2. It is desirable to use P + gate for PMOS transistors, for scaled CMOS technology to minimize short channel effects 47 3

4 MOS Gate Dielectrics Outline Scaling issues Technology Reliability of SiO 2 Nitrided SiO 2 High k dielectrics 48 High-k MOS Gate Dielectrics I channel charge x source injection velocity (gate oxide cap x gate overdrive) v inj C ox (V GS - V T ) E source µ inj Historically C ox has been increased by decreasing gate oxide thickness. It can also be increased by using a higher K dielectric I D "C ox " K thickness 20 Å SiO 2 K 4 40 Å Si 3 N 4 K 8 Si Higher thickness -> reduced gate leakage J DT "e #t ox 49 4

5 Benefits of High-κ Gate Dielectrics κ = 4 source V DD Gate 15 Å SiO 2e - e - drain channel Si substrate High leakage V DD Low leakage J DT " e! t ox source e - V DD Gate 60 Å High-κ e - drain channel Si substrate κ = 16 V DD Higher-κ film thicker gate dielectric lower leakage and power dissipation with the same capacitance!" A ') $ high( ) C t % " ox = 0 high( ) =! t SiO2 tox & ) SiO2 # Historically C ox has been increased by decreasing gate oxide thickness. It can also be increased by using a higher K dielectric 50 Alternatives to SiO 2 : Silicon Nitride (Ref: Guo & Ma, IEEE Electron Dev. Lett. June. 1998) A factor of 2 increase in K Reduction in bandgap increased gate leakage 51 5

6 Thermal Nitridation of Si in NH 3 Nitridation of Silicon I d - V g of 1.5 µm Si 3 N 4 gate NMOS Drain Current (ma) 25 Å Si 3 N 4 Vg = 2V 1.5V 1V 0.5V Drain Voltage (V) (Ref: Moslehi & S, EEE Trans. Electron Dev. Feb. 1985) Si reacts with NH 3 to grow Si 3 N 4 Excellent gate dielectric properties Reaction needs very high temperatures Si reacts with atomic nitrogen Reaction temperature could be reduced using nitrogen plasma More research needed Several deposition methods under investigations, e.g., rapid thermal CVD, jet vapor deposition (JVD) 52 Nitride / Nitroxide Sandwich Gate MOS 1.2 nm EOT Gate dielectric I d I g Ref: Q. Xiang, et.al., (AMD), IEDM nm EOT Ref: M. Bohr, (Intel), IEDM nm EOT (Equivalent oxide thickness) gate dielectric can be formed by - thermally growing ultrathin oxinitride - CVD of Si 3 N 4 Low gate leakage 40 nm channel length CMOS demonstrated 53 6

7 Requirements for the MOS gate dielectrics High dielectric constant higher charge induced in the channel Wide band gap higher barriers lower leakage Ability to grow high purity films on Si with a clean interface. High resistivity and breakdown voltage. Low bulk and interfacial trap densities. Compatibility with the substrate and top electrode. minimal interdiffusion and reaction minimal silicon reoxidation during growth and device processing - even a thin SiO 2 layer would deteriorate the C gate significantly. Thermal stresses most oxides have larger thermal expansion coefficients than Si. Good Si fabrication processing compatibility. Stability at higher processing temperatures and environments Ability to be cleaned, etched, etc. 54 Candidates for High K Gate Dielectrics Dielectric Permittivity Band Gap!E C to Si (ev) SiO Si 3 N Al 2 O TiO Ta 2 O Y 2 O La 2 O HfO ZrO ZrSiO HfSiO Ref: Robertson, J., Appl. Surf. Sci. (2002) 190 (1-4), 2 Higher K materials have lower bandgap There are many performance, reliability and process integration issues yet to be solved More research is needed to make these materials manufacturable 55 7

8 Thermodynamic Stability of High-K Dielectric Oxides 75 Å K Å K Å Si 3 N 4 Unstable oxides (e.g. TiO 2, Ta 2 O 5, BST) React with Si to form SiO 2 and silicides upon thermal annealing Barrier (e.g. Si 3 N 4 ) is required to prevent such a reaction Dielectric stack: poly-si/nitride/unstable oxide/nitride/si substrate A monolayer of nitride on both sides of gate dielectric already contributes 5 Å to the physical oxide thickness Stable oxides (e.g. HfO 2, ZrO 2, Al 2 O 3 ) and their silicates (e.g. ZrSi x O y ) and aluminates (e.g. ZrAl x O y ) Do not react with Si upon thermal annealing (up to 1000 C) May not require a barrier layer between Si and the metal oxide simple structure: poly-si/stable oxide/si substrate 56 Stability of Metal Oxides with Si After Beyers,J. Appl. Phys. 56, 157, 1984 And Wang and Meyer J. Appl. Phys. 64, 4711,

9 Capacitance and Leakage for High-k Gate Dielectric Films Grown Using ALCVD 10 0 Silicon Germanium Gate Leakage (A/cm 2 ) ALCVD ZrO 2 SiO nm 4 nm /C ' ox (µm2 /ff) Gate Current@ V FB+1V (A/cm 2 ) Equivalent SiO 2 Thickness (nm) Perkins, S and McIntyre, Stanford Univ Chui, Kim, S and McIntyre, Stanford Univ Atomic Layer CVD of Hi-κ Dielectric Pump Rotary Pump Turbo Pump H 2 O MFC HfCl4 MFC MFC ZrCl4 MFC Carrier Gas (N2) Loadlock Main Chamber Throttle Valve Turbo Pump Scrubber Rotary Pump 59 McIntyre, S, Stanford 9

10 Atomic Layer Deposition ZrCl 4 /HfCl 4 (g) Substrate Reactant A (ZrCl 4 /HfCl 4 ) ON OFF 1/4 cycle : Injection of reactant A (ZrCl 4 /HfCl 4 ) Reactant B (H 2 O) 1 cycle Time (sec) 60 Atomic Layer Deposition * Zr " OH + ZrCl4 # Zr " O " ZrCl3 + HCl! Saturated adsorption Substrate Reactant A (ZrCl 4 /HfCl 4 ) ON OFF 2/4 cycle : Purging (N 2 ) Reactant B (H 2 O) 1 cycle Time (sec) 61 10

11 Atomic Layer Deposition HCl (g) H 2 O (g) Substrate Reactant A (ZrCl 4 /HfCl 4 ) ON OFF 3/4 cycle : Injection of reactant B (H 2 O) Reactant B (H 2 O) 1 cycle Time (sec) 62 Atomic Layer Deposition * * Zr " Cl + H O # Zr " OH + HCl! 2 ZrO 2 /HfO 2 (s) Substrate Reactant A (ZrCl 4 /HfCl 4 ) ON OFF 4/4 cycle : Purging (N 2 ) Reactant B (H 2 O) 1 cycle Time (sec) 63 11

12 Atomic Layer Deposition ZrCl 4 /HfCl 4 (g) Saturated adsorption Substrate Substrate HCl (g) H 2 O (g) ZrO 2 /HfO 2 (s) Substrate Substrate - Surface saturation controlled process - Layer-by-layer deposition process - Excellent film quality and step coverage 64 Microstructure of ALD HfO 2 and HfO 2 ZrO 2 =29Å ZrO 2 =43Å ZrO 2 =82Å As-deposited ALD- ZrO 2 is polycrystalline. ZrO 2 Chemical oxide Si HfO 2 =28Å HfO 2 =45Å HfO 2 =62Å HfO 2 Chemical oxide As-deposited ALD-HfO 2 is amorphous. It crystallizes upon high temperature annealing Si There is always a thin layer of chemical SiO 2 present at the interface There are charges and trap states at various interfaces and grain boundaries 65 12

13 HfO 2 GeO x N y Ge High-k Gate Dielectric Can Also be Applied to Other Semiconductors HR-XTEM 4 nm Effective Mobility (cm 2 /V-s) Si Universal Mobility Si hi-! pfet 25 µm Ge hi-! pfet 30 µm Ge hi-! pfet 100 µm Ge hi-! pfet Effective Field (MV/cm) Passivation of Ge with GeO x N y, ZrO 2 and HfO 2 1 st demo of Ge MOSFETs with hi-κ p-mosfet with 3 mobility vs. Hi-k Si Passivation of many other materials being experimented, e.g., carbon nanotubes, GaAs, etc. Chui, et. al., IEDM Issues With High k Dielectrics How good is the interface with Si? mobility Contamination of Si by metal atoms Compatibility with gate electrode metal gate Device reliability and lifetime Minimum EOT achievable Technology integration More research is needed to make these materials manufacturable and reliable 67 13

14 Reduced Mobility in High- K Gate Stacks µ Coulombic Phonon Surface Roughness 1 µ = eff µ C µ ph µ sr E eff Electron Hole S. Saito, et al., IEEE IEDM, Possible Sources for Reduced Mobility in High- K Gate Stacks S. Saito, et al., IEEE IEDM, Washington, DC, Dec., Extensive research is needed to understand these mechanisms and how to minimize their impact on device performance 69 14

15 Effect of Interface states on CV curves Small density of states Large density of slow states Severe distortion, hysteresis and frequency dependence in C-V can be observed if large number of slow states are present This causes degradation in device properties, such as, V t, mobility, etc. 70 Effect of Slow D it states on CV Curves Responding to DC (Ideal) C Up-sweep Responding to DC (Actual) Downsweep Effect of C it Decreasing frequency Responding to DC (Actual) Acceptor -ve V t shift Measurement is like a regular C-V setup with a DC sweep from +ve to ve followed by a DC sweep from ve to +ve. Hysteresis in C-V is due to the VERY slow states that do not empty out fast enough and cannot even respond to the slow DC sweep. 71 V 15

16 Effect of Interface States on Mobility in High- K Gate Stacks E eff = 0.1 MV/cm µ eff, for HfO 2 and SiO 2 gate MOSFETs, along with their three components, including the components limited by Coulomb scattering, µ coul, surface roughness scattering, µ sr, and the phonon scattering, µ ph. Effect of interface traps on mobility. Coulombic scattering reduces the mobility Ref: T. P. Ma, IEEE TED, Jan High-K/Poly-Si Gate Transistors High-K/poly-Si gate transistors suffer from high V T, degraded channel mobility and poor drive performance Phonon scattering limits channel mobility in high-k/poly-si gate MOSFETs R. Chau, Intel, ICSICT

17 Metal Gate Screens Surface Phonon Scattering and Improves Mobility in High-K Transistors R. Chau, Intel, ICSICT µ = 1 i " µi 74 Annealing Crystallization of ALD-HfO 2 In-situ anneal at 520 C using 30Å HfO 2 on 25Å thermal SiO 2. As-dep 10 min 20 min 50 min 60 min 70 min Upon annealing the amorphous films cryatsllize Grain boundaries cause statistical variation in the properties By adding other elements (e.g. N, Al, Si) to HfO 2 crystallization can be impeded 75 17

18 Crystallization and Phase Separation 20% SiO2 3.1 MX 55% (21-12) 55% SiO2 HfO2 SiO2 Si SiO2 5 nm SiO2 5 nm 65% SiO2 75 % (21-18) 75% SiO2 65% SiO2 (21-15) Non-uniformity of k-value leads to mobility degradation This can occure in the case of silicates. G.B. et al., MRS nm 5 nm B.Foran et al., ALD conference, Luigi Colombo, et al.,(t.i.) IWGI Nov 2003 Tokyo, Japan 77 18

19 Mobility: N Incorporation in HfSiO Hole Electron Luigi Colombo, et al.,(t.i.) IWGI Nov 2003 Tokyo, Japan 78 Summary Scaling issues Technology Reliability of SiO 2 Nitrided SiO 2 High k dielectrics 79 19

Lecture 4. Oxidation (applies to Si and SiC only) Reading: Chapter 4

Lecture 4. Oxidation (applies to Si and SiC only) Reading: Chapter 4 Lecture 4 Oxidation (applies to Si and SiC only) Reading: Chapter 4 Introduction discussion: Oxidation: Si (and SiC) Only The ability to grow a high quality thermal oxide has propelled Si into the forefront

More information

Interface Structure and Charge Trapping in HfO 2 -based MOSFETS

Interface Structure and Charge Trapping in HfO 2 -based MOSFETS Interface Structure and Charge Trapping in HfO 2 -based MOSFETS MURI - ANNUAL REVIEW, 13 and 14 th May 2008 S.K. Dixit 1, 2, T. Feng 6 X.J. Zhou 3, R.D. Schrimpf 3, D.M. Fleetwood 3,4, S.T. Pantelides

More information

Annual Meeting. North Carolina State University Dr. Veena Misra. January 17 19, 2017 December

Annual Meeting. North Carolina State University Dr. Veena Misra. January 17 19, 2017 December Annual Meeting North Carolina State University Dr. Veena Misra January 17 19, 2017 December 8 2015 1 Misra Group at NCSU Over 9 years experience in wide band gap research on SiC, GaN and Ga2O3. World leaders

More information

Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric

Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric K. Matano 1, K. Funamizu 1, M. Kouda 1, K. Kakushima 2, P. Ahmet 1, K. Tsutsui 2, A. Nishiyama 2, N. Sugii

More information

Effect of High Pressure Deuterium Annealing on Performance and Reliability of MOSFETs with High-k Gate Dielectrics and Metal Gate

Effect of High Pressure Deuterium Annealing on Performance and Reliability of MOSFETs with High-k Gate Dielectrics and Metal Gate Effect of High Pressure Deuterium Annealing on Performance and Reliability of MOSFETs with High-k Gate Dielectrics and Metal Gate H. Park, M. Chang, H. Yang, M. S. Rahman, M. Cho, B.H. Lee*, R. Choi*,

More information

Section 4: Thermal Oxidation. Jaeger Chapter 3. EE143 - Ali Javey

Section 4: Thermal Oxidation. Jaeger Chapter 3. EE143 - Ali Javey Section 4: Thermal Oxidation Jaeger Chapter 3 Properties of O Thermal O is amorphous. Weight Density =.0 gm/cm 3 Molecular Density =.3E molecules/cm 3 O Crystalline O [Quartz] =.65 gm/cm 3 (1) Excellent

More information

Materials Characterization

Materials Characterization Materials Characterization C. R. Abernathy, B. Gila, K. Jones Cathodoluminescence (CL) system FEI Nova NanoSEM (FEG source) with: EDAX Apollo silicon drift detector (TE cooled) Gatan MonoCL3+ FEI SEM arrived

More information

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook:

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook: HOMEWORK 4 and 5 March 15, 2009 Homework is due on Monday March 30, 2009 in Class. Chapter 7 Answer the following questions from the Course Textbook: 7.2, 7.3, 7.4, 7.5, 7.6*, 7.7, 7.9*, 7.10*, 7.16, 7.17*,

More information

Lecture 22: Integrated circuit fabrication

Lecture 22: Integrated circuit fabrication Lecture 22: Integrated circuit fabrication Contents 1 Introduction 1 2 Layering 4 3 Patterning 7 4 Doping 8 4.1 Thermal diffusion......................... 10 4.2 Ion implantation.........................

More information

Atomic Layer Deposition of High-k k Dielectric and Metal Gate Stacks for MOS Devices

Atomic Layer Deposition of High-k k Dielectric and Metal Gate Stacks for MOS Devices International Conference on Characterization and Metrology for ULSI Technology March 15-18, 2005 Atomic Layer Deposition of High-k k Dielectric and Metal Gate Stacks for MOS Devices Yoshi Senzaki, Kisik

More information

Advanced Gate Stack, Source/Drain, and Channel Engineering for Si-Based CMOS 6: New Materials, Processes, and Equipment

Advanced Gate Stack, Source/Drain, and Channel Engineering for Si-Based CMOS 6: New Materials, Processes, and Equipment Advanced Gate Stack, Source/Drain, and Channel Engineering for Si-Based CMOS 6: New Materials, Processes, and Equipment Editors: E. P. Gusev Qualcomm MEMS Technologies San Jose, California, USA D-L. Kwong

More information

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1 Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1 LECTURE 030 INTEGRATED CIRCUIT TECHNOLOGY - I (References [7,8]) Objective The objective of this presentation is: 1.) Illustrate integrated

More information

State of the art quality of a GeOx interfacial passivation layer formed on Ge(001)

State of the art quality of a GeOx interfacial passivation layer formed on Ge(001) APPLICATION NOTE State of the art quality of a Ox interfacial passivation layer formed on (001) Summary A number of research efforts have been made to realize Metal-Oxide-Semiconductor Field Effect Transistors

More information

Section 4: Thermal Oxidation. Jaeger Chapter 3

Section 4: Thermal Oxidation. Jaeger Chapter 3 Section 4: Thermal Oxidation Jaeger Chapter 3 Properties of O Thermal O is amorphous. Weight Density =.0 gm/cm 3 Molecular Density =.3E molecules/cm 3 O Crystalline O [Quartz] =.65 gm/cm 3 (1) Excellent

More information

Nagatsuta, Midori-ku, Yokohama , Japan. Technology, 4259-S2-20 Nagatsuta, Midori-ku, Yokohama , Japan

Nagatsuta, Midori-ku, Yokohama , Japan. Technology, 4259-S2-20 Nagatsuta, Midori-ku, Yokohama , Japan Improvement of Interface Properties of W/La O 3 /Si MOS Structure Using Al Capping Layer K. Tachi a, K. Kakushima b, P. Ahmet a, K. Tsutsui b, N. Sugii b, T. Hattori a, and H. Iwai a a Frontier Collaborative

More information

Silicon Wafer Processing PAKAGING AND TEST

Silicon Wafer Processing PAKAGING AND TEST Silicon Wafer Processing PAKAGING AND TEST Parametrical test using test structures regularly distributed in the wafer Wafer die test marking defective dies dies separation die fixing (not marked as defective)

More information

The Progress and Challenges of Applying High-k/Metal-Gated Devices to Advanced CMOS Technologies

The Progress and Challenges of Applying High-k/Metal-Gated Devices to Advanced CMOS Technologies The Progress and Challenges of Applying High-k/Metal-Gated Devices to Advanced CMOS Technologies 8 Hsing-Huang Tseng, Ph.D. Professor of Electrical Engineering Ingram School of Engineering Texas State

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices

MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices Hitachi Review Vol. 57 (2008), No. 3 127 MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices Tadashi Terasaki Masayuki Tomita Katsuhiko Yamamoto Unryu Ogawa, Dr. Eng. Yoshiki Yonamoto,

More information

Challenges of Silicon Carbide MOS Devices

Challenges of Silicon Carbide MOS Devices Indo German Winter Academy 2012 Challenges of Silicon Carbide MOS Devices Arjun Bhagoji IIT Madras Tutor: Prof. H. Ryssel 12/17/2012 1 Outline What is Silicon Carbide (SiC)? Why Silicon Carbide? Applications

More information

Effect of grain size on the mobility and transfer characteristics of polysilicon thin-film transistors

Effect of grain size on the mobility and transfer characteristics of polysilicon thin-film transistors Indian Journal of Pure & Applied Physics Vol. 42, July 2004, pp 528-532 Effect of grain size on the mobility and transfer characteristics of polysilicon thin-film transistors Navneet Gupta* & B P Tyagi**

More information

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials Interconnects Outline Interconnect scaling issues Aluminum technology Copper technology 1 Properties of Interconnect Materials Metals Silicides Barriers Material Thin film Melting resistivity point ( C)

More information

Laser Spike Annealing for sub-20nm Logic Devices

Laser Spike Annealing for sub-20nm Logic Devices Laser Spike Annealing for sub-20nm Logic Devices Jeff Hebb, Ph.D. July 10, 2014 1 NCCAVS Junction Technology Group Semicon West Meeting July 10, 2014 Outline Introduction Pattern Loading Effects LSA Applications

More information

ALD and CVD of Copper-Based Metallization for. Microelectronic Fabrication. Department of Chemistry and Chemical Biology

ALD and CVD of Copper-Based Metallization for. Microelectronic Fabrication. Department of Chemistry and Chemical Biology ALD and CVD of Copper-Based Metallization for Microelectronic Fabrication Yeung Au, Youbo Lin, Hoon Kim, Zhengwen Li, and Roy G. Gordon Department of Chemistry and Chemical Biology Harvard University Introduction

More information

Oxide Growth. 1. Introduction

Oxide Growth. 1. Introduction Oxide Growth 1. Introduction Development of high-quality silicon dioxide (SiO2) has helped to establish the dominance of silicon in the production of commercial integrated circuits. Among all the various

More information

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) contents UNIT I INTRODUCTION: Introduction to IC Technology MOS, PMOS, NMOS, CMOS & BiCMOS technologies. BASIC ELECTRICAL PROPERTIES : Basic Electrical

More information

FAST AND SLOW-STATE TRAPS AT THE MOSFET OXIDE INTERFACE WITH A TEMPERATURE DEPENDENT C-V METHOD.

FAST AND SLOW-STATE TRAPS AT THE MOSFET OXIDE INTERFACE WITH A TEMPERATURE DEPENDENT C-V METHOD. Journal of Electron Devices, Vol. 1, 2003, pp. 1-6 JED [ISSN: 1682-3427] Journal of Electron Devices www.j-elec-dev.org FAST AND SLOW-STATE TRAPS AT THE MOSFET OXIDE INTERFACE WITH A TEMPERATURE DEPENDENT

More information

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon Chapter 5 Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon 5.1 Introduction In this chapter, we discuss a method of metallic bonding between two deposited silver layers. A diffusion

More information

RHEED AND XPS STUDIES OF THE DECOMPOSITION OF SILICON DIOXIDE BY THE BOMBARDMENT OF METAL IONS

RHEED AND XPS STUDIES OF THE DECOMPOSITION OF SILICON DIOXIDE BY THE BOMBARDMENT OF METAL IONS Surface Review and Letters, Vol. 8, No. 5 (2001) 521 526 c World Scientific Publishing Company RHEED AND XPS STUDIES OF THE DECOMPOSITION OF SILICON DIOXIDE BY THE BOMBARDMENT OF METAL IONS S. J. WANG,

More information

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation. Figure 2.1 (p. 58) Basic fabrication steps in the silicon planar process: (a) oxide formation, (b) selective oxide removal, (c) deposition of dopant atoms on wafer, (d) diffusion of dopant atoms into exposed

More information

CMOS FABRICATION. n WELL PROCESS

CMOS FABRICATION. n WELL PROCESS CMOS FABRICATION n WELL PROCESS Step 1: Si Substrate Start with p- type substrate p substrate Step 2: Oxidation Exposing to high-purity oxygen and hydrogen at approx. 1000 o C in oxidation furnace SiO

More information

Tri-Gate Transistor Architecture with High-k Gate Dielectrics, Metal Gates and Strain Engineering

Tri-Gate Transistor Architecture with High-k Gate Dielectrics, Metal Gates and Strain Engineering Tri-Gate Transistor Architecture with High-k Gate Dielectrics, Metal Gates and Strain Engineering Jack Kavalieros, Brian Doyle, Suman Datta, Gilbert Dewey, Mark Doczy, Ben Jin, Dan Lionberger, Matthew

More information

The semiconductor industry is now

The semiconductor industry is now Gate Dielectric Process Technology for the Sub-1 nm Equivalent Oxide Thickness (EOT) Era by L. Colombo, J. J. Chambers, and H. Niimi The semiconductor industry is now in its third generation of gate dielectrics.

More information

High Temperature Oxygen Out-Diffusion from the Interfacial SiOx Bond Layer in Direct Silicon Bonded (DSB) Substrates

High Temperature Oxygen Out-Diffusion from the Interfacial SiOx Bond Layer in Direct Silicon Bonded (DSB) Substrates High Temperature Oxygen Out-Diffusion from the Interfacial SiOx Bond Layer in Direct Silicon Bonded (DSB) Substrates Jim Sullivan, Harry R. Kirk, Sien Kang, Philip J. Ong, and Francois J. Henley Silicon

More information

Schottky-Barrier-Height Modulation of Ni Silicide/Si Contacts by Insertion of Thin Er or Pt Layers

Schottky-Barrier-Height Modulation of Ni Silicide/Si Contacts by Insertion of Thin Er or Pt Layers Schottky-Barrier-Height Modulation of Ni Silicide/Si Contacts by Insertion of Thin Er or Pt Layers Yoshihisa Ohishi 1, Kohei Noguchi 1, Kuniyuki Kakushima 2, Parhat Ahmet 1, Kazuo Tsutsui 2, Nobuyuki Sugii

More information

Precursors with Metal-Nitrogen Bonds for ALD of Metals, Nitrides and Oxides

Precursors with Metal-Nitrogen Bonds for ALD of Metals, Nitrides and Oxides Precursors with Metal-Nitrogen Bonds for ALD of Metals, Nitrides and Oxides Abstract Roy Gordon Gordon@chemistry.harvard.edu, Cambridge, MA To achieve ALD s unique characteristics, ALD precursors must

More information

Chapter 2. Density 2.65 g/cm 3 Melting point Young s modulus Tensile strength Thermal conductivity Dielectric constant 3.

Chapter 2. Density 2.65 g/cm 3 Melting point Young s modulus Tensile strength Thermal conductivity Dielectric constant 3. Chapter 2 Thin Film Materials Thin films of Silicon dioxide, Silicon nitride and Polysilicon have been utilized in the fabrication of absolute micro pressure sensor. These materials are studied and discussed

More information

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications Journal of ELECTRONIC MATERIALS, Vol. 31, No. 5, 2002 Special Issue Paper Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems

More information

ME 141B: The MEMS Class Introduction to MEMS and MEMS Design. Sumita Pennathur UCSB

ME 141B: The MEMS Class Introduction to MEMS and MEMS Design. Sumita Pennathur UCSB ME 141B: The MEMS Class Introduction to MEMS and MEMS Design Sumita Pennathur UCSB Outline today Introduction to thin films Oxidation Deal-grove model CVD Epitaxy Electrodeposition 10/6/10 2/45 Creating

More information

Corrosion Protect DLC Coating on Steel and Hastelloy

Corrosion Protect DLC Coating on Steel and Hastelloy Materials Transactions, Vol. 49, No. 6 (2008) pp. 1333 to 1337 #2008 The Japan Institute of Metals Corrosion Protect DLC Coating on Steel and Hastelloy Hironobu Miya and Jie Wang Semiconductor Equipment

More information

IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 55, NO. 4, AUGUST

IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 55, NO. 4, AUGUST IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 55, NO. 4, AUGUST 2008 1833 Radiation Effects in MOS Oxides James R. Schwank, Fellow, IEEE, Marty R. Shaneyfelt, Fellow, IEEE, Daniel M. Fleetwood, Fellow, IEEE,

More information

SEMATECH Symposium Korea 2012 Practical Analysis Techniques of Nanostructured Semiconductors by Electron Microscopy

SEMATECH Symposium Korea 2012 Practical Analysis Techniques of Nanostructured Semiconductors by Electron Microscopy SEMATECH Symposium Korea 2012 Practical Analysis Techniques of Nanostructured Semiconductors by Electron Microscopy Jun-Mo Yang, Ph.D. Measurement & Analysis Team National NanoFab Center, Korea Introduction

More information

Non-contractual document, specifications subject to change without notice.

Non-contractual document, specifications subject to change without notice. 1 ANNEALSYS designs and manufactures Rapid Thermal Processing (RTA, RTCVD) Direct Liquid Injection (DLI-CVD, DLI-ALD) systems for research laboratories and companies for semiconductor, MEMS, nanotechnologies,

More information

Silicon Oxides: SiO 2

Silicon Oxides: SiO 2 Silicon Oxides: SiO 2 Uses: diffusion masks surface passivation gate insulator (MOSFET) isolation, insulation Formation: grown / native thermal: highest quality anodization deposited: C V D, evaporate,

More information

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther EECS 40 Spring 2003 Lecture 19 Microfabrication 4/1/03 Prof. ndy Neureuther How are Integrated Circuits made? Silicon wafers Oxide formation by growth or deposition Other films Pattern transfer by lithography

More information

New Materials as an enabler for Advanced Chip Manufacturing

New Materials as an enabler for Advanced Chip Manufacturing New Materials as an enabler for Advanced Chip Manufacturing Drive Innovation, Deliver Excellence ASM International Analyst and Investor Technology Seminar Semicon West July 10 2013 Outline New Materials:

More information

Lecture 8. Deposition of dielectrics and metal gate stacks (CVD, ALD)

Lecture 8. Deposition of dielectrics and metal gate stacks (CVD, ALD) Lecture 8 Deposition of dielectrics and metal gate stacks (CVD, ALD) Thin Film Deposition Requirements Many films, made of many different materials are deposited during a standard CMS process. Gate Electrodes

More information

THE PENNSYLVANIA STATE UNIVERSITY SCHREYER HONORS COLLEGE DEPARTMENT OF ENGINEERING SCIENCE AND MECHANICS

THE PENNSYLVANIA STATE UNIVERSITY SCHREYER HONORS COLLEGE DEPARTMENT OF ENGINEERING SCIENCE AND MECHANICS THE PENNSYLVANIA STATE UNIVERSITY SCHREYER HONORS COLLEGE DEPARTMENT OF ENGINEERING SCIENCE AND MECHANICS A STUDY OF BIASED TARGET ION BEAM DEPOSITED DIELECTRIC OXIDES FELIX ARONOVICH FALL 2013 A thesis

More information

Project III. 4: THIN FILM DEVICES FOR LARGE AREA ELECTRONICS

Project III. 4: THIN FILM DEVICES FOR LARGE AREA ELECTRONICS Project III. 4: THIN FILM DEVICES FOR LARGE AREA ELECTRONICS Project leader: Dr D.N. Kouvatsos Collaborating researchers from other projects: Dr D. Davazoglou Ph.D. candidates: M. Exarchos, L. Michalas

More information

Atomic layer deposition of thin hafnium oxide films using a carbon free precursor

Atomic layer deposition of thin hafnium oxide films using a carbon free precursor JOURNAL OF APPLIED PHYSICS VOLUME 93, NUMBER 1 1 JANUARY 2003 Atomic layer deposition of thin hafnium oxide films using a carbon free precursor J. F. Conley, Jr., a) Y. Ono, D. J. Tweet, and W. Zhuang

More information

Thermal Evaporation. Theory

Thermal Evaporation. Theory Thermal Evaporation Theory 1. Introduction Procedures for depositing films are a very important set of processes since all of the layers above the surface of the wafer must be deposited. We can classify

More information

Vertical Group IV Nanowires: Potential Enablers for 3D Integration and BioFET Sensor Arrays

Vertical Group IV Nanowires: Potential Enablers for 3D Integration and BioFET Sensor Arrays Vertical Group IV Nanowires: Potential Enablers for 3D Integration and BioFET Sensor Arrays Paul C. McIntyre Department of Materials Science & Engineering Geballe Laboratory for Advanced Materials Stanford

More information

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE Dr. Alan Doolittle

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE Dr. Alan Doolittle Lecture 12 Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12 Evaporation and Sputtering (Metalization) Evaporation For all devices, there is a need to go from semiconductor to metal.

More information

Development of low temperature oxidation for crystalline silicon thin film transistor applications

Development of low temperature oxidation for crystalline silicon thin film transistor applications Rochester Institute of Technology RIT Scholar Works Theses Thesis/Dissertation Collections 2011 Development of low temperature oxidation for crystalline silicon thin film transistor applications Ryan Rettmann

More information

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

Semiconductor Manufacturing Technology. IC Fabrication Process Overview Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 00 by Prentice Hall Chapter 9 IC Fabrication Process Overview /4 Objectives After studying the material in this chapter, you

More information

350 C for 8 hours in argon atmosphere. Supplementary Figures. Supplementary Figure 1 High-temperature annealing of BP flakes on SiO 2.

350 C for 8 hours in argon atmosphere. Supplementary Figures. Supplementary Figure 1 High-temperature annealing of BP flakes on SiO 2. Supplementary Figures Supplementary Figure 1 High-temperature annealing of BP flakes on SiO 2. (a-d) The optical images of three BP flakes on a SiO 2 substrate before (a,b) and after annealing (c,d) at

More information

Schottky Tunnel Contacts for Efficient Coupling of Photovoltaics and Catalysts

Schottky Tunnel Contacts for Efficient Coupling of Photovoltaics and Catalysts Schottky Tunnel Contacts for Efficient Coupling of Photovoltaics and Catalysts Christopher E. D. Chidsey Department of Chemistry Stanford University Collaborators: Paul C. McIntyre, Y.W. Chen, J.D. Prange,

More information

Metallization. Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance

Metallization. Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance Metallization Interconnects Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance RC time delay Inter-Metal Dielectric -Prefer low dielectric constant to reduce capacitance

More information

Fabrication Technology

Fabrication Technology Fabrication Technology By B.G.Balagangadhar Department of Electronics and Communication Ghousia College of Engineering, Ramanagaram 1 OUTLINE Introduction Why Silicon The purity of Silicon Czochralski

More information

EE 434 Lecture 9. IC Fabrication Technology

EE 434 Lecture 9. IC Fabrication Technology EE 434 Lecture 9 IC Fabrication Technology Quiz 7 The layout of a film resistor with electrodes A and B is shown. If the sheet resistance of the film is 40 /, determine the resistance between nodes A and

More information

1. Introduction. What is implantation? Advantages

1. Introduction. What is implantation? Advantages Ion implantation Contents 1. Introduction 2. Ion range 3. implantation profiles 4. ion channeling 5. ion implantation-induced damage 6. annealing behavior of the damage 7. process consideration 8. comparison

More information

Suppressive Effect of Interface Reaction and Water Absorption by Al Incorporation into Pr-oxide Film

Suppressive Effect of Interface Reaction and Water Absorption by Al Incorporation into Pr-oxide Film Journal of Physics: Conference Series Suppressive Effect of Interface Reaction and Water Absorption by Al Incorporation into Pr-oxide Film To cite this article: W Takeuchi et al 213 J. Phys.: Conf. Ser.

More information

2006 UPDATE METROLOGY

2006 UPDATE METROLOGY INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS METROLOGY THE ITRS DEVED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING TO INDIVIDUAL PRODUCTS

More information

FORMING-FREE NITROGEN-DOPED ALUMINUM OXIDE RESISTIVE RANDOM ACCESS MEMORY GROWN BY ATOMIC LAYER DEPOSITION TECHNIQUE A DISSERTATION

FORMING-FREE NITROGEN-DOPED ALUMINUM OXIDE RESISTIVE RANDOM ACCESS MEMORY GROWN BY ATOMIC LAYER DEPOSITION TECHNIQUE A DISSERTATION FORMING-FREE NITROGEN-DOPED ALUMINUM OXIDE RESISTIVE RANDOM ACCESS MEMORY GROWN BY ATOMIC LAYER DEPOSITION TECHNIQUE A DISSERTATION SUBMITTED TO THE DEPARTMENT OF ELECTRICAL ENGINEERING AND THE COMMITTEE

More information

Reliability and Stability Issues for Lanthanum Silicate as a High-K Dielectric. Raleigh NC 27695, USA. Raleigh NC 27695, USA

Reliability and Stability Issues for Lanthanum Silicate as a High-K Dielectric. Raleigh NC 27695, USA. Raleigh NC 27695, USA 10.1149/1.2355716, copyright The Electrochemical Society Reliability and Stability Issues for Lanthanum Silicate as a High-K Dielectric Daniel J. Lichtenwalner a, Jesse S. Jur a, Steven Novak b, Veena

More information

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS AND FABRICATION ENGINEERING ATTHE MICRO- NANOSCALE Fourth Edition STEPHEN A. CAMPBELL University of Minnesota New York Oxford OXFORD UNIVERSITY PRESS CONTENTS Preface xiii prrt i OVERVIEW AND MATERIALS

More information

Al 2 O 3 SiO 2 stack with enhanced reliability

Al 2 O 3 SiO 2 stack with enhanced reliability Al 2 O 3 SiO 2 stack with enhanced reliability M. Lisiansky, a A. Fenigstein, A. Heiman, Y. Raskin, and Y. Roizin Tower Semiconductor Ltd., P.O. Box 619, Migdal HaEmek 23105, Israel L. Bartholomew and

More information

From microelectronics down to nanotechnology.

From microelectronics down to nanotechnology. From microelectronics down to nanotechnology sami.franssila@tkk.fi Contents Lithography: scaling x- and y-dimensions MOS transistor physics Scaling oxide thickness (z-dimension) CNT transistors Conducting

More information

Metallization. Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance

Metallization. Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance Metallization Interconnects Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance RC time delay Inter-Metal Dielectric -Prefer low dielectric constant to reduce capacitance

More information

VLSI Systems and Computer Architecture Lab

VLSI Systems and Computer Architecture Lab ΚΥΚΛΩΜΑΤΑ VLSI Πανεπιστήμιο Ιωαννίνων CMOS Technology Τμήμα Μηχανικών Η/Υ και Πληροφορικής 1 From the book: An Introduction ti to VLSI Process By: W. Maly ΚΥΚΛΩΜΑΤΑ VLSI Διάρθρωση 1. N well CMOS 2. Active

More information

Supplementary Figure S1 Crystal structure of the conducting filaments in sputtered SiO 2

Supplementary Figure S1 Crystal structure of the conducting filaments in sputtered SiO 2 Supplementary Figure S1 Crystal structure of the conducting filaments in sputtered SiO 2 based devices. (a) TEM image of the conducting filament in a SiO 2 based memory device used for SAED analysis. (b)

More information

Properties of TiN thin films grown on SiO 2 by reactive HiPIMS

Properties of TiN thin films grown on SiO 2 by reactive HiPIMS Properties of TiN thin films grown on SiO 2 by reactive HiPIMS Friðrik Magnus 1, Árni S. Ingason 1, Ólafur B. Sveinsson 1, S. Shayestehaminzadeh 1, Sveinn Ólafsson 1 and Jón Tómas Guðmundsson 1,2 1 Science

More information

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005 3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005 1) This is an open book, take-home quiz. You are not to consult with other class members or anyone else. You may discuss the

More information

All-solid-state Li battery using a light-weight solid electrolyte

All-solid-state Li battery using a light-weight solid electrolyte All-solid-state Li battery using a light-weight solid electrolyte Hitoshi Takamura Department of Materials Science, Graduate School of Engineering, Tohoku University Europe-Japan Symposium, Electrical

More information

Defect Engineering in Advanced Devices on High-Mobility Substrates

Defect Engineering in Advanced Devices on High-Mobility Substrates Defect Engineering in Advanced Devices on High-Mobility Substrates C. Claeys 1,2 1 IMEC, Leuven, Belgium 2 E.E. Dept., KU Leuven, Leuven, Belgium Outline Introduction Defect Studies Why important Challenges

More information

Layout-related stress effects on TID-induced leakage current

Layout-related stress effects on TID-induced leakage current Layout-related stress effects on TID-induced leakage current Nadia Rezzak, R. D. Schrimpf, M. L. Alles, En Xia Zhang, Daniel M. Fleetwood, Yanfeng Albert Li Radiation Effects Group Vanderbilt University,

More information

Elevated-Metal Metal-Oxide Thin-Film Transistors Based on Indium-Tin-Zinc Oxide

Elevated-Metal Metal-Oxide Thin-Film Transistors Based on Indium-Tin-Zinc Oxide Elevated-Metal Metal-Oxide Thin-Film Transistors Based on Indium-Tin-Zinc Oxide Zhihe XIA,2, Lei LU,2,3, Jiapeng LI,2, Zhuoqun FENG,2, Sunbin DENG,2, Sisi WANG,2, Hoi-Sing KWOK,2,3 and Man WONG*,2 Department

More information

Activation Behavior of Boron and Phosphorus Atoms Implanted in Polycrystalline Silicon Films by Heat Treatment at 250 C

Activation Behavior of Boron and Phosphorus Atoms Implanted in Polycrystalline Silicon Films by Heat Treatment at 250 C Japanese Journal of Applied Physics Vol. 44, No. 3, 2005, pp. 1186 1191 #2005 The Japan Society of Applied Physics Activation Behavior of Boron and Phosphorus Atoms Implanted in Polycrystalline Silicon

More information

Impurity free vacancy disordering of InGaAs quantum dots

Impurity free vacancy disordering of InGaAs quantum dots JOURNAL OF APPLIED PHYSICS VOLUME 96, NUMBER 12 15 DECEMBER 2004 Impurity free vacancy disordering of InGaAs quantum dots P. Lever, H. H. Tan, and C. Jagadish Department of Electronic Materials Engineering,

More information

Fabrication of Ru/Bi 4-x La x Ti 3 O 12 /Ru Ferroelectric Capacitor Structure Using a Ru Film Deposited by Metalorganic Chemical Vapor Deposition

Fabrication of Ru/Bi 4-x La x Ti 3 O 12 /Ru Ferroelectric Capacitor Structure Using a Ru Film Deposited by Metalorganic Chemical Vapor Deposition Mat. Res. Soc. Symp. Proc. Vol. 784 2004 Materials Research Society C7.7.1 Fabrication of Ru/Bi 4-x La x Ti 3 O 12 /Ru Ferroelectric Capacitor Structure Using a Ru Film Deposited by Metalorganic Chemical

More information

200mm Next Generation MEMS Technology update. Florent Ducrot

200mm Next Generation MEMS Technology update. Florent Ducrot 200mm Next Generation MEMS Technology update Florent Ducrot The Most Exciting Industries on Earth Semiconductor Display Solar 20,000,000x reduction in COST PER TRANSISTOR in 30 years 1 20x reduction in

More information

Despina C Moschou. National and Kapodistrian University of Athens, Department of Informatics and Telecommunications

Despina C Moschou. National and Kapodistrian University of Athens, Department of Informatics and Telecommunications Fabrication technology development of thin film transistors optimized with respect to the structure of the silicon films that results from the crystallization process Despina C Moschou National and Kapodistrian

More information

EEC 118 Lecture #5: MOS Fabrication. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

EEC 118 Lecture #5: MOS Fabrication. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation EEC 118 Lecture #5: MOS Fabrication Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation Announcements Lab 3 this week, report due next week HW 3 due this Friday at 4

More information

EE-612: Lecture 28: Overview of SOI Technology

EE-612: Lecture 28: Overview of SOI Technology EE-612: Lecture 28: Overview of SOI Technology Mark Lundstrom Electrical and Computer Engineering Purdue University West Lafayette, IN USA Fall 2006 NCN www.nanohub.org Lundstrom EE-612 F06 1 outline 1)

More information

Non-charge Storage Resistive Memory: How it works

Non-charge Storage Resistive Memory: How it works Accelerating the next technology revolution Non-charge Storage Resistive Memory: How it works Gennadi Bersuker Copyright 2009 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered servicemarks

More information

Isolation Technology. Dr. Lynn Fuller

Isolation Technology. Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Isolation Technology Dr. Lynn Fuller Motorola Professor 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Fax (585) 475-5041

More information

EE 560 FABRICATION OF MOS CIRCUITS. Kenneth R. Laker, University of Pennsylvania

EE 560 FABRICATION OF MOS CIRCUITS. Kenneth R. Laker, University of Pennsylvania 1 EE 560 FABRICATION OF MOS CIRCUITS 2 CMOS CHIP MANUFACTRING STEPS Substrate Wafer Wafer Fabrication (diffusion, oxidation, photomasking, ion implantation, thin film deposition, etc.) Finished Wafer Wafer

More information

Workfunction Tuning for Single-Metal Dual-Gate With Mo and NiSi Electrodes

Workfunction Tuning for Single-Metal Dual-Gate With Mo and NiSi Electrodes tivation Workfunction Tuning for ngle-metal Dual-Gate With and i Electrodes poly- Gate Gate depletion effect -Effective oxide thickness increase Metal Gate o gate depletion effect K.Sano, M.Hino, and K.Shibahara

More information

MATERIALS PROPERTIES OF HAFNIUM AND ZIRCONIUM SILICATES: METAL INTERDIFFUSION AND DOPANT PENETRATION STUDIES. Manuel Angel Quevedo Lopez BS. MS.

MATERIALS PROPERTIES OF HAFNIUM AND ZIRCONIUM SILICATES: METAL INTERDIFFUSION AND DOPANT PENETRATION STUDIES. Manuel Angel Quevedo Lopez BS. MS. MATERIALS PROPERTIES OF HAFNIUM AND ZIRCONIUM SILICATES: METAL INTERDIFFUSION AND DOPANT PENETRATION STUDIES Manuel Angel Quevedo Lopez BS. MS. Dissertation Prepared for the Degree of DOCTOR OF PHILOSOPHY

More information

Plasma-Enhanced Chemical Vapor Deposition

Plasma-Enhanced Chemical Vapor Deposition Plasma-Enhanced Chemical Vapor Deposition Steven Glenn July 8, 2009 Thin Films Lab 4 ABSTRACT The objective of this lab was to explore lab and the Applied Materials P5000 from a different point of view.

More information

Portland Technology Development, * CR, # QRE, % PTM Intel Corporation

Portland Technology Development, * CR, # QRE, % PTM Intel Corporation A 45nm Logic Technology with High-k + Metal Gate Transistors, Strained Silicon, 9 Cu Interconnect Layers, 193nm Dry Patterning, and 100% Pb-free Packaging K. Mistry, C. Allen, C. Auth, B. Beattie, D. Bergstrom,

More information

Development of a Deep-Submicron CMOS Process for Fabrication of High Performance 0.25 mm Transistors

Development of a Deep-Submicron CMOS Process for Fabrication of High Performance 0.25 mm Transistors Development of a Deep-Submicron CMOS Process for Fabrication of High Performance 0.25 mm Transistors Michael Aquilino M.S. Thesis Defense Department May 19, 2006 Motivation o Enable the department to continue

More information

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high vacuum ~10-7 torr Removes residual gases eg oxygen from

More information

Process Flow in Cross Sections

Process Flow in Cross Sections Process Flow in Cross Sections Process (simplified) 0. Clean wafer in nasty acids (HF, HNO 3, H 2 SO 4,...) --> wear gloves! 1. Grow 500 nm of SiO 2 (by putting the wafer in a furnace with O 2 2. Coat

More information

Low temperature MOSFET technology with Schottky barrier source/drain, high-k gate dielectric and metal gate electrode

Low temperature MOSFET technology with Schottky barrier source/drain, high-k gate dielectric and metal gate electrode Solid-State Electronics 48 (2004) 1987 1992 www.elsevier.com/locate/sse Low temperature MOSFET technology with Schottky barrier source/drain, high-k gate dielectric and metal gate electrode Shiyang Zhu

More information

Lecture #9: Active-Matrix LCDs

Lecture #9: Active-Matrix LCDs Lecture #9: Active-Matrix LCDs Introduction OUTLINE Active-matrix switching elements TFT performance requirements Active matrix processing constraints Amorphous silicon (a-si) TFT technology TFT fabrication

More information

Lecture 7 CMOS MEMS. CMOS MEMS Processes. CMOS MEMS Processes. Why CMOS-MEMS? Agenda: CMOS MEMS: Fabrication. MEMS structures can be made

Lecture 7 CMOS MEMS. CMOS MEMS Processes. CMOS MEMS Processes. Why CMOS-MEMS? Agenda: CMOS MEMS: Fabrication. MEMS structures can be made EEL6935 Advanced MEMS (Spring 2005) Instructor: Dr. Huikai Xie CMOS MEMS Agenda: Lecture 7 CMOS MEMS: Fabrication Pre-CMOS Intra-CMOS Post-CMOS Deposition Etching Why CMOS-MEMS? Smart on-chip CMOS circuitry

More information

Ion-assist applications of broad-beam ion sources

Ion-assist applications of broad-beam ion sources Ion-assist applications of broad-beam ion sources H.R. Kaufman a and J.M.E. Harper b a Kaufman & Robinson, Inc., 1306 Blue Spruce Dr., Fort Collins, CO 80524; b University of New Hampshire, Dept. of Physics,

More information

A Study on Gate-stack Process for Ge MOS Devices with La 2 O 3 Gate Dielectric

A Study on Gate-stack Process for Ge MOS Devices with La 2 O 3 Gate Dielectric Doctorial Thesis A Study on Gate-stack Process for Ge MOS Devices with La 2 O 3 Gate Dielectric A DISSERTATION SUBMITTED TO THE DEPARTMENT OF ELECTRONICS AND APPLIED PHYSICS INTERDISCIPLINARY GRADUATE

More information

Silicon Manufacturing

Silicon Manufacturing Silicon Manufacturing Group Members Young Soon Song Nghia Nguyen Kei Wong Eyad Fanous Hanna Kim Steven Hsu th Fundamental Processing Steps 1.Silicon Manufacturing a) Czochralski method. b) Wafer Manufacturing

More information