Colorado School of Mines PHGN/CHGN 435. Modules 1 and 2. Authors: Garrick Johnson Trevor Haak Carl DuBois Ethan Palay

Size: px
Start display at page:

Download "Colorado School of Mines PHGN/CHGN 435. Modules 1 and 2. Authors: Garrick Johnson Trevor Haak Carl DuBois Ethan Palay"

Transcription

1 Colorado School of Mines PHGN/CHGN 435 Modules 1 and 2 Authors: Garrick Johnson Trevor Haak Carl DuBois Ethan Palay February 10, 2015

2 1 Introduction and Background Integrated circuits are an integral part of almost all modern electronics. Fabrication has become exceedingly cost effective due to the incredible amount of circuit components that can be constructed per unit operation. As of 2015, industry can design integrated circuits with on the order of transistors per chip, and create on the order of 10 5 chips per wafer. Photolithography acts as a map for the intricate layout of transistors, resistors, and metal interconnects within a chip, and allows for features as small as 30nm at the time of this publication. Photolithography uses UV-light incident on a thin film of photoactive material through a set of masks in order to pattern the semi-conducting substrate. The patterned photoactive material on the substrate allows for etching of the substrate, etching of the thermal oxide layer, and deposition of metal interconnects. The authors intend to construct a rudimentary integrated circuit from a 3 inch silicon wafer in the microprocessing laboratory at the Colorado School of Mines. First, various equipment and processes must be appropriately characterized and optimized. In this publication, thermal oxidation, photoresist application, and reactive ion etching are characterized in order to optimize SiO 2 thickness, photoresist thickness and uniformity, and SiO 2 etch depths and profiles. Thermal oxides growth involves the introduction of an oxidizing agent (oxygen or water vapor) to a wafer (silicon) at elevated temperatures ranging between degrees Celsius. Elevated temperatures allow the oxidizing agent to diffuse from the surface to the bulk of the material and react with the wafer[1]. This experiment diffuses oxygen through crystalline silicon to produce a silicon dioxide layer according to the reaction: Si(solid) + O 2 (gas) SiO 2 The thickness of the oxide layer is a function of initial oxide thickness, temperature, and duration. Photoresist is a photoactive material that is resistant to oxidation. The unique properties of photoresist give simple methodology for a complicated integrated circuit design. A layer of photoresist is deposited uniformly on the surface of a silicon wafer using a spin-coater. Photoresist thickness is a function of spin speed and duration. Photoresist can then be etched using reactive ion etching (RIE) in order to make patterns on a chip. In contrast with isotropic, wet chemical etching, RIE is a dry etching technique with high anisotropy. Anisotropic etching of the photoresist is critical for resolved patterning of the integrated circuit. This is achieved via vertical ion bombardment in vacuum conditions. In this study, oxygen ions were used to etch photoresist. It is critical to characterize the etch rate to ensure that all of the desired photoresist is etched away. Even a small layer of photoresist left can completely protect the underlying layer of silicon. Etch rate is a function of etch power. Layer thicknesses were characterized using ellipsometry and surface profilometry. Optimized thermal oxide growth temperatures, reactive ion etching power, and photoresist spin-coater spin-speed are presented. 2 Methods 2.1 Module 1 The purpose of module 1 was to determine the effect of furnace temperature and ambient on the oxidation of silicon wafers for semiconductor processing. Clean silicon wafers were placed in two separate ovens that performed oxidation with dry and wet gas at temperatures ranging from 950 C to 1100 C in 50 C increments. In order to have silicon substrates of a reasonable size to work with and to use the silicon efficiently, the silicon wafers were divided into quarters using a diamond scribe. 2.2 RCA Clean An RCA clean was used to remove impurities from the surface of the silicon so that the oxide can grow on pure silicon. The RCA clean consists of three steps: an organic clean, oxide strip and ionic clean. The organic clean was made as a solution containing a 5:1:1 ration of deionized water, hydrogen peroxide and ammonium hydroxide respectively and held at 75 C in a water bath. The silicon substrates were placed in 1

3 this solution for 10 minutes. This solution removes any organic particles on the surface of the material, but it leaves a thin oxide layer and attracts ionic metal contaminants to the surface. In order to get rid of the oxide layer and some of the metal contaminants an oxide strip was performed using a solution of 20:1 deionized water to hydrogen fluoride. This solution was kept at room temperature, and the samples were submerged for 15 seconds. The third step of the RCA clean, the ionic clean, removes the remaining ionic contaminants on the surface of the silicon. This solution consisted of a 5:1:1 ratio of deionized water, hydrogen peroxide, and hydrochloric acid and was held at 75 C in a water bath. The samples were submerged for 10 minutes. Between each step, the silicon substrates were placed in beakers of deionized water for 1 minute to remove any traces of the previous cleaning solution. The substrates were then blown dry with nitrogen gas and transferred to the furnace for oxidation. 2.3 Dry and Wet Oxidization The Dry and Wet oxidation were performed in a thermal processing furnace. In both furnaces, oxygen gas was allowed to flow into the chamber. The difference between the two methods is that in the wet oxidation, deionized water is heated to a boiling temperature on a hot plate, and the water vapor is piped into the chamber. The water vapor speeds the growing process of the oxide. The samples were placed in the chambers on a quartz sample holder, and the chamber was set to heat up to the desired temperature at a rate of 20 C per minute. After the chamber reached the desired temperature, it was kept there for 1 hour before the chamber began cooling down. The oxygen gas and water vapor was allowed to flow for 30 minutes while the sample was cooling down before being shut off. The samples were left overnight and removed the next day. Expected thermal SiO 2 thicknesses were calculated using Brigham Young University s oxide growth calculator which is based off of the Deal-Grove model.[2] [3] Expected wafer thicknesses based on color were determined from Wolf s color chart for thermally grown SiO 2 films.[4] 2.4 Ellipsometry After the photoresist application process, the samples were placed in an ellipsometer to determine the thickness of the photoresist. An ellipsometer has an angled laser beam that reflects off of the surface of the sample into a detector which measured the final polarization state. The polarization state is affected because the light that travelled though the outside material layer and reflected from the second interface will interact with the light that simply reflected from the outside layer of the sample. Software on the computer uses these polarization measurements to calculate parameters such as the thickness of individual layers on the sample and the refractive indices. This process was used to measure the thickness of the photoresist at four different locations on the silicon substrates. 2.5 Module 2 For module 2, photoresist was spun on to silicon wafers in a spin coater, and some of the photoresist was removed in a plasma etching process. The purpose of this module is to determine the effect of spin speed on the uniformity and thickness of the applied photoresist layer and the relationship between the power setting on the plasma etcher and etch rate. 2.6 Photoresist Application A standard AMI (Acetone, Methanol, Isopropanol) clean degreasing procedure was used to remove organic contaminants and drive off moisture to allow for good wafer-photoresist adhesion. Plastic tweezers were used to hold bare silicon wafer quarters, labeled 2A, 2B, 2C, and 2D, over an empty beaker in a fume hood. A wash bottle was used to spray the wafer for 30 seconds in order to drive off contaminants. The process was immediately repeated using methanol and isopropanol respectively. An inert, compressed air, nitrogen gun was used to remove the isopropanol from the surface of the wafer. The isopropanol evaporates quickly, so it is important that the nitrogen gun is used immediately after the AMI clean. If streaks or smudges were left on the wafer, isopropanol was reapplied then subsequently re-dried to leave the surface free of contaminants. 2

4 A hotplate was used in order to ensure no moisture remained on the surface after the AMI clean. The wafer was placed on a 115 degree Celsius hotplate for 60 seconds. The Brewer Science Cee Model 100 Spin Coating System was used for the application of photoresist. The wafer quarters were placed in the center of the vacuum chuck, and centered. The machine was then programmed with the following parameters: Spin Speed 1 (RPM) 500 Acceleration 1 (RPM/s) 100 Time 1 (s) 9 Spin Speed 2 (RPM) Varied ( ) Acceleration 2 (RPM/s) 1000 Time 2 (s) 40 Room temperature Shipley 1813 positive photoresist was applied to the surface of the wafer using a disposable pipette such that approximately 90 percent was covered. The recipe was carried out with the hatch closed. For a more uniform film, the hole at the top of the hatch was plugged to avoid air currents from interfering with the photoresist dispersion. The second spin speed was varied between 2000 and 5000 RPM between iterations. This allowed for quantification of expected photoresist film thickness as a function of spin speed. The authors ran all four wafer quarters at 3000 RPM, but data from other classmates was included for spin speeds between 2000 and 5000 RPM. Data was compared to expected film thicknesses published in the manufacturer s data. After photoresist application, a soft-bake is necessary to drive off solvents, improve adhesion, and anneal away stress. This gives the photoresist film better durability. The wafer quarters were placed on a hotplate at 115 degrees Celsius for 90 seconds. 2.7 Reactive Ion Etch To remove excess photoresist from the surface of the silicon wafers, a plasma etch (reactive ion etch) was performed. The silicon samples with photoresist were placed in the plasma etcher, and a glass sheet was placed over half of the sample so that the amount of photoresist removed could be measured. The power setting on the etcher was varied from 125W to 200W in 25W increments and the etching time was varied to determine the etch rate. In order for the plasma etcher to work, ions must be present in the chamber to bombard the samples with. For our silicon samples, oxygen gas was used, and the pressure of the chamber was set to be around 400mtorr. 2.8 Profilometry After the photoresist was etched, the sample was placed in a profilometer. The profilometer drags a stylus across the surface of the sample to measure relative thicknesses, and when it was dragged across the seperation between the etched and unetched parts of the sample the amount of photoresist removed was found. 3 Results and Discussion In order to determine how spun photoresist thickness depends on spin speed, photoresist thickness was measured using an ellipsometer. Resist was spun at speeds of 2000, 3000, 4000, and 5000rpm. Five samples were spun and measured at 3000rpm. Additionally, two samples were spun at 4000rpm and one sample was spun at 2000rpm. Data is shown in Figure 1 with an 85% confidence interval. 3

5 Figure 1: Photoresist thickness decreases as a function of spin speed. Averaged data points (blue diamonds) fall on the expected curve (purple dotted line). Thickness from a 2000 rpm spin (red square) was only done once, so does not have error bars. It s deviation from the expected curve is called into question due to the lack of data at this spin speed. As expected, the resist thickness data generally follows an exponential falloff/decay with increased spin speed. However, the sample spun at 2000rpm does not follow this trend and deviates slightly from the manufacturer s curves. Since only one sample was spun at 2000rpm, it is hard to determine if the average resist thickness at such a spin speed matches the manufacturer s curves. Considering the size of the error for the other spin speeds, it is reasonable to expect the 2000rpm average resist thickness to fall near the expected thickness of roughly 18500Å. Average thicknesses for all other spin speeds match nicely with the manufacturer s curves (for S1813 photoresist thickness as a function of spin speed)(citation). Since a small number of samples were spun at these other spin speeds of rpm, a large level of error exists in the data for photoresist thickness at the 85% confidence level. Additionally, the samples did not visibly appear to have a uniform coating of photoresist, and the color of the sample varied from the center to the edge. Non-uniformity for photoresist application without coverage of the hole for inserting spin solutions was verified with profilometer measurements. Because of this, the ellipsometry measurements were taken at the center of all of the substrates. Etching/Ashing rate was determined as a function of power. Etch rate is plotted versus power in Figure 2, at a confidence level of 90%. In order to calculate etch rate, a profilometer was used to measure a step height difference in resist thickness between masked and unmasked areas. Etching was carried out at different lengths of time ranging from seconds at discrete powers of 125, 150, 175, and 200 W. A discrepancy exists for data collected at 125W. The data collected at this power was reported to have been actually collected at 175W. However, the RIE was also reported to not be producing any step changes in resist at 125W from another group. The data (originally reported as 175W) was plotted at 125W in order to determine if reported powers were mixed up and if there was any trend that could provide evidence of a 4

6 mix-up. Figure 2: The etch rate of photoresist on silicon substrates for a reactive ion etch process as a function of the power of the plasma etcher. Generally, the average etch rate follows a logarithmic relationship, increasing with RIE power. Average etch rates appear to level off at roughly 58Å/s past 175W. Larger RF power indicates that a larger voltage was applied between the parallel plates. With a larger voltage, oxygen ions have higher kinetic energy, which resulted in a faster etch rate, as expected. The logarithmic taper most likely was due a tapering unionized oxygen number density because all of the oxygen molecules became quickly ionized with high RF power. However, since error is large for the highest power, 200W, this behavior is not guaranteed. Error in etch rate increases for each power from W. In order to characterize the dry oxidation furnace, dry oxide thickness versus oxidation temperature is plotted in Figure 3. A published curve for the Deal-Grove model of expected oxide growth (on silicon) is also plotted in Figure 3. Oxide thickness was measured using an ellipsometer following oxidation. As expected, the oxide thickness data generally exhibits an exponential dependence on oxidation temperature given by the following relationship. thickness(å) =.994e T ( C) For data at 950, 1050, and 1000 C, measured dry oxide thickness consistently falls above the curve for expected thickness. Since the thermal oxidation ovens were set with a slow ramp time in an oxygen environment, the samples most likely oxidized before and after the designated 1 hour of dwell time at the corresponding temperature. This would lead to a higher oxide thickness than expected, and may explain such a trend in the data. (1) 5

7 Figure 3: The dependance of dry oxide growth thickness on the oven temperature. The dry oxide thickness collected at 1000 C does not follow with the rest of the data. A discrepancy exists over whether the sample was actually run under wet oxidation conditions as opposed to dry oxidation conditions. Currently, the source of this deviation is unknown. As these samples were both oxidized in the same time frame, separate from other samples, their data may be ruled as questionable. One reasonable possibility for this large discrepancy is confusion over different mass flow controllers. The MFCs connected to the process furnaces display old, incorrect labels for channel number. If the channel numbers were confused, and oxygen was actually flowing through a different channel the entire time, then oxygen would continue to flow even after it was thought to be turned off. If the oxygen flowed during the cooling of the sample, oxide would continue to rapidly grow on the surface. A dry oxidation time of roughly 4.5 hours at a constant 1000 C would be required to achieve the same thickness as was measured for the samples reportedly oxidized for 1 hour. Even with a gradual and natural ramping down of temperature, the cooling process may take longer than 4.5 hours, and oxidation would still occur. 6

8 Figure 4: The dependance of wet oxide growth thickness on the oven temperature. In an attempt to characterize the wet oxidation furnace, wet oxide thickness versus oxidation temperature is plotted in Figure 4. Wet oxide thickness data that was reported as correct is displayed as blue diamonds at 1050 and 1000 C. Red circles at 1100 C indicate data that was originally collected at that oxidation temperature but was later reported to be incorrect. Red circles at 1000 C mark the questionable data from the dry oxide runs at the same temperature. It is plotted here along with wet oxide data in order to determine whether or not the samples were actually run through a wet oxidation. The ellipsometry-measured oxide thickness for these samples does not fall close to the expected thickness as predicted by Deal-Grove behavior. Initially, this plot does not offer anything conclusive about the wet oxidation process. However, when the colors of the samples were analyzed, the results seem to more closely follow expected Deal-Grove behavior. The green plus (+) marks indicate what thickness is expected for the reported color of the wet oxidation samples. Since these markers fall close to the Deal-Grove model, perhaps the thickness of the wet oxide samples was not correctly measured in the ellipsometry process. 4 Conclusion Based on the results and data collected following the procedures outlined for Modules 1 and 2, there are several conclusions and reasons for discussion. According to the manufacturer s specifications resist thickness is a function of spin speed. In general results agreed with the expected film thicknesses for spin speeds between 3000 and 5000 rpm. While the spin speed plays an important factor in achieving a desired resist thickness, perhaps other factors may also play a crucial role. These may include the acceleration times used to reach the specified spin speed, which are not indicated on the manufacturer s spec sheet, and were set according to previously established guidelines stated in the procedures for the respective Module. Adjusting the ramp time may play a direct role in resist 7

9 thickness. Additionally, the manner in which the photo-resist is applied has a direct influence on uniformity. For example, if the hole in the top of the spin coater is not plugged during the spin process the resist develops a distinct tie-dyed pattern indicating a non-uniform resist pattern which may give rise to a large degree of a variation in the measurements, assuming the measurements using ellipsometry are accurate. However, if the hole is plugged a more uniform resist thickness is achieved. Taking these subtle differences into consideration is an important part of establishing an optimum procedure for application of photo-resist and achieving a desired and reproducible thickness. Application of photo-resist tends to be somewhat of an art form, and as much as it depends on pre-defined parameters it also depends on trial and error. One of the objectives for Module 2 was to determine the etching rate (ashing) of photo-resist in an oxygen plasma as a function of power, at a given flow rate and pressure. For each group, etching was performed at a fixed pressure of 400mtorr, and a different power setting. With larger power came larger uncertainties in the etch rate. For this reason a lower power of 150 W is suggested to run at a longer time, rather than a higher power for a shorter amount of time, for a desired etch depth in order to minimize error. When looking at the results and data for each group individually and as a whole, we can see that there is a general logarithmic trend, and as can be expected an increase in power tends to increase the etching rate. For the wet and dry oxidations, one objective of the experiment was to determine oxidation kinetics as a function of temperature. Each group was to perform a wet and dry oxidation for a specified temperature, for a pre-established flow-rate, in an oxygen environment. Oxidation rates can be found published elsewhere, however consideration should be made with respect to the actual oxidation atmosphere, and in particular the variation in the type of equipment used. When comparing the data and results, to that of expected values for oxide thicknesses with respect to a given set of parameters we can see that there is substantial deviation. This may ultimately be due to the size of the oven for a given flow rate, provided that the specified temperatures for the experiment were maintain throughout the oxidation. In addition, upon further investigation, whether the equipment was set up correctly seems to be the predominant concern, which would give rise the inconsistent data for a given set of parameters. The measurements for the oxide thickness and correlation between the color of the oxide and actual thickness suggests that the measurements were correct, and that perhaps the actual oxidation parameters where different from those intended. The relationship between oxide thickness and temperature specific to our equipment and process is given by equation 1. Additionally accurate data reporting is essential. In summary, while the processes are outlined in the procedures, having an intimate working knowledge and experience with the specific equipment used, while fully understanding the parameters that play a primary role in processes involved are crucial for obtaining accurate and reproducible results. 8

10 Appendix A. Oxidation Thickness and Color Analysis Dry Temperature ( C) Duration (hr) Initial Oxide Thickness (Angstrom) Expected Oxide Thickness (Angstrom) Expected Color Measured Oxide Thickness Actual Color Expected Thickness Based off Color Tan 505 Dark Blue Tan 452 Dark Blue Tan 1295 Dark Blue Tan 1318 Metallic Blue Tan-Brown 824 Brown Tan-Brown 832 Dark Violet Dark Violet-Red Violet 1225 Royal Blue Dark Violet-Red Violet 1253 Royal Blue 1200 Wet Temperature ( C) Duration (hr) Initial oxide thickness (Angstrom) Expected Oxide Thickness (Angstrom) Expected Color Measured Oxide Thickness Actual Color Expected Thickness Based off Color Orange to melon N/A N/A N/A Orange to melon N/A N/A N/A Yellow N/A N/A N/A Yellow N/A N/A N/A Blue-Green 1087 Light Blue Blue-Green 540 Gold Carnation Pink 196 Yellow-Pink Carnation Pink 635 Yellow-Green

11 References [1] Campbell, Stephen A.. (2008). Fabrication Engineering at the Micro- and Nanoscale (3rd Edition). Oxford University Press. Online version available at: [2] B.E. Deal, A. S. Grove, General Relationship for the Thermal Oxidation of Silicon, J. Appl. Phys., 36, 3770 (1965) [3] Oxide Growth Calculator. (1994, January 1). Retrieved February 1, 2015, from [4] S. Wolf and R.N. Tauber, Silicon Processing for the VLSI Era: Volume 1 - Process Technology, Lattice Press, [5] Shipley Microposit S1800 Series Photo Resists. (1993, January 1). Retrieved February 1, 2015, from sagarwal/phgn435/shipley1800photoresist.pdf 10

Lab #2 Wafer Cleaning (RCA cleaning)

Lab #2 Wafer Cleaning (RCA cleaning) Lab #2 Wafer Cleaning (RCA cleaning) RCA Cleaning System Used: Wet Bench 1, Bay1, Nanofabrication Center Chemicals Used: H 2 O : NH 4 OH : H 2 O 2 (5 : 1 : 1) H 2 O : HF (10 : 1) H 2 O : HCl : H 2 O 2

More information

Oxide Growth. 1. Introduction

Oxide Growth. 1. Introduction Oxide Growth 1. Introduction Development of high-quality silicon dioxide (SiO2) has helped to establish the dominance of silicon in the production of commercial integrated circuits. Among all the various

More information

4. Thermal Oxidation. a) Equipment Atmospheric Furnace

4. Thermal Oxidation. a) Equipment Atmospheric Furnace 4. Thermal Oxidation a) Equipment Atmospheric Furnace Oxidation requires precise control of: temperature, T ambient gas, G time spent at any given T & G, t Vito Logiudice 34 4. Thermal Oxidation b) Mechanism

More information

Temperature Scales. Questions. Temperature Conversions 7/21/2010. EE580 Solar Cells Todd J. Kaiser. Thermally Activated Processes

Temperature Scales. Questions. Temperature Conversions 7/21/2010. EE580 Solar Cells Todd J. Kaiser. Thermally Activated Processes 7/1/010 EE80 Solar Cells Todd J. Kaiser Flow of Wafer in Fabrication Lecture 0 Microfabrication A combination of Applied Chemistry, Physics and ptics Thermal Processes Diffusion & xidation Photolithograpy

More information

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing ELEC 3908, Physical Electronics, Lecture 4 Basic Integrated Circuit Processing Lecture Outline Details of the physical structure of devices will be very important in developing models for electrical behavior

More information

Fabrication Technology

Fabrication Technology Fabrication Technology By B.G.Balagangadhar Department of Electronics and Communication Ghousia College of Engineering, Ramanagaram 1 OUTLINE Introduction Why Silicon The purity of Silicon Czochralski

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

micro resist technology

micro resist technology Characteristics Processing guidelines Negative Tone Photoresist Series ma-n 1400 ma-n 1400 is a negative tone photoresist series designed for the use in microelectronics and microsystems. The resists are

More information

Process Flow in Cross Sections

Process Flow in Cross Sections Process Flow in Cross Sections Process (simplified) 0. Clean wafer in nasty acids (HF, HNO 3, H 2 SO 4,...) --> wear gloves! 1. Grow 500 nm of SiO 2 (by putting the wafer in a furnace with O 2 2. Coat

More information

micro resist technology

micro resist technology Characteristics Processing guidelines Negative Tone Photoresist Series ma-n 2400 ma-n 2400 is a negative tone photoresist series designed for the use in micro- and nanoelectronics. The resists are available

More information

Lab 1: Field Oxide. Overview. Starting Wafers

Lab 1: Field Oxide. Overview. Starting Wafers Overview Lab 1: Field Oxide Brandon Baxter, Robert Buckley, Tara Mina, Quentin Vingerhoets Lab instructor: Liang Zhang Course Instructor: Dr. Gary Tuttle EE 432-532 January 23, 2017 In this lab we created

More information

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Metallization deposition and etching. Material mainly taken from Campbell, UCCS Metallization deposition and etching Material mainly taken from Campbell, UCCS Application Metallization is back-end processing Metals used are aluminum and copper Mainly involves deposition and etching,

More information

Thermal Evaporation. Theory

Thermal Evaporation. Theory Thermal Evaporation Theory 1. Introduction Procedures for depositing films are a very important set of processes since all of the layers above the surface of the wafer must be deposited. We can classify

More information

Report 1. B. Starting Wafer Specs Number: 10 Total, 6 Device and 4 Test wafers

Report 1. B. Starting Wafer Specs Number: 10 Total, 6 Device and 4 Test wafers Aaron Pederson EE 432 Lab Dr. Meng Lu netid: abp250 Lab instructor: Yunfei Zhao Report 1 A. Overview The goal of this lab is to go through the semiconductor fabrication process from start to finish. This

More information

Processing guidelines. Negative Tone Photoresist Series ma-n 2400

Processing guidelines. Negative Tone Photoresist Series ma-n 2400 Characteristics Processing guidelines Negative Tone Photoresist Series ma-n 2400 ma-n 2400 is a negative tone photoresist series designed for the use in micro- and nanoelectronics. The resists are available

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

How To Write A Flowchart

How To Write A Flowchart 1 Learning Objectives To learn how you transfer a device concept into a process flow to fabricate the device in the EKL labs You learn the different components that makes up a flowchart; process blocks,

More information

Photolithography I ( Part 2 )

Photolithography I ( Part 2 ) 1 Photolithography I ( Part 2 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Lecture 22: Integrated circuit fabrication

Lecture 22: Integrated circuit fabrication Lecture 22: Integrated circuit fabrication Contents 1 Introduction 1 2 Layering 4 3 Patterning 7 4 Doping 8 4.1 Thermal diffusion......................... 10 4.2 Ion implantation.........................

More information

Introduction to Micro/Nano Fabrication Techniques. Date: 2015/05/22 Dr. Yi-Chung Tung. Fabrication of Nanomaterials

Introduction to Micro/Nano Fabrication Techniques. Date: 2015/05/22 Dr. Yi-Chung Tung. Fabrication of Nanomaterials Introduction to Micro/Nano Fabrication Techniques Date: 2015/05/22 Dr. Yi-Chung Tung Fabrication of Nanomaterials Top-Down Approach Begin with bulk materials that are reduced into nanoscale materials Ex:

More information

Processing guidelines

Processing guidelines Processing guidelines mr-uvcur21 series UV-curable Polymer for UV-based Nanoimprint Lithography Characteristics mr-uvcur21 is a liquid UV-curable polymer system with low viscosity and high curing rate

More information

A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon

A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon April 2009 A Deep Silicon RIE Primer 1.0) Etching: Silicon does not naturally etch anisotropically in fluorine based chemistries. Si

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 9/13/2007 Fabrication Technology Lecture 1 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world)

More information

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller Webpage: http://www.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604

More information

Silicon Manufacturing

Silicon Manufacturing Silicon Manufacturing Group Members Young Soon Song Nghia Nguyen Kei Wong Eyad Fanous Hanna Kim Steven Hsu th Fundamental Processing Steps 1.Silicon Manufacturing a) Czochralski method. b) Wafer Manufacturing

More information

Semiconductor Technology

Semiconductor Technology Semiconductor Technology from A to Z Oxidation www.halbleiter.org Contents Contents List of Figures List of Tables II III 1 Oxidation 1 1.1 Overview..................................... 1 1.1.1 Application...............................

More information

Plasma-Enhanced Chemical Vapor Deposition

Plasma-Enhanced Chemical Vapor Deposition Plasma-Enhanced Chemical Vapor Deposition Steven Glenn July 8, 2009 Thin Films Lab 4 ABSTRACT The objective of this lab was to explore lab and the Applied Materials P5000 from a different point of view.

More information

Isolation Technology. Dr. Lynn Fuller

Isolation Technology. Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Isolation Technology Dr. Lynn Fuller Motorola Professor 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Fax (585) 475-5041

More information

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation. Figure 2.1 (p. 58) Basic fabrication steps in the silicon planar process: (a) oxide formation, (b) selective oxide removal, (c) deposition of dopant atoms on wafer, (d) diffusion of dopant atoms into exposed

More information

Semiconductor Device Fabrication Study

Semiconductor Device Fabrication Study Proceedings of The National Conference on Undergraduate Research (NCUR) 2003 University of Utah, Salt Lake City, Utah March 13-15, 2003 Semiconductor Device Fabrication Study Tsung-Ta Ho and Michael R.

More information

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005 3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005 1) This is an open book, take-home quiz. You are not to consult with other class members or anyone else. You may discuss the

More information

Etching Etching Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference

Etching Etching Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference Etching Etching Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between 2 materials Need strong selectivity from masking

More information

INTERVIA BPP-10 Photoresist

INTERVIA BPP-10 Photoresist Technical Data Sheet INTERVIA BPP-10 Photoresist For Advanced Packaging Applications Description Regional Product Availability Advantages INTERVIA BPP-10 Photoresist is a general-purpose, multi-wavelength

More information

EE 457 : Multilayer Devices

EE 457 : Multilayer Devices March 1, 2010 EE 457 : Multilayer Devices by prepared for: Prof. K. Westra M. Mohammed EE 457: Multilayer Devices Objectives...1 Process Flow...1 1.Cleaning...1 2.Thermal Oxidation...1 3.Aluminum Sputtering...1

More information

Dow Corning WL-5150 Photodefinable Spin-On Silicone

Dow Corning WL-5150 Photodefinable Spin-On Silicone Dow Corning WL-515 Photodefinable Spin-On Silicone Properties and Processing Procedures Introduction Dow Corning WL-515 is a silicone formulation which can be photopatterned and cured using standard microelectronics

More information

Fabrication and Layout

Fabrication and Layout ECEN454 Digital Integrated Circuit Design Fabrication and Layout ECEN 454 3.1 A Glimpse at MOS Device Polysilicon Aluminum ECEN 475 4.2 1 Material Classification Insulators Glass, diamond, silicon oxide

More information

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook:

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook: HOMEWORK 4 and 5 March 15, 2009 Homework is due on Monday March 30, 2009 in Class. Chapter 7 Answer the following questions from the Course Textbook: 7.2, 7.3, 7.4, 7.5, 7.6*, 7.7, 7.9*, 7.10*, 7.16, 7.17*,

More information

VLSI Technology. By: Ajay Kumar Gautam

VLSI Technology. By: Ajay Kumar Gautam By: Ajay Kumar Gautam Introduction to VLSI Technology, Crystal Growth, Oxidation, Epitaxial Process, Diffusion Process, Ion Implantation, Lithography, Etching, Metallization, VLSI Process Integration,

More information

Ultra High Barrier Coatings by PECVD

Ultra High Barrier Coatings by PECVD Society of Vacuum Coaters 2014 Technical Conference Presentation Ultra High Barrier Coatings by PECVD John Madocks & Phong Ngo, General Plasma Inc., 546 E. 25 th Street, Tucson, Arizona, USA Abstract Silicon

More information

There are basically two approaches for bulk micromachining of. silicon, wet and dry. Wet bulk micromachining is usually carried out

There are basically two approaches for bulk micromachining of. silicon, wet and dry. Wet bulk micromachining is usually carried out 57 Chapter 3 Fabrication of Accelerometer 3.1 Introduction There are basically two approaches for bulk micromachining of silicon, wet and dry. Wet bulk micromachining is usually carried out using anisotropic

More information

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University 2014 Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University Page1 Syllabus UNIT 1 Introduction to VLSI Technology: Classification of ICs, Scale of integration,

More information

CMOS LAB MANUAL CMOS LAB MANUAL

CMOS LAB MANUAL CMOS LAB MANUAL CMOS LAB MANUAL 2011 CMOS LAB MANUAL This manual was designed for use with the Montana Microfabrication Facility at MSU. The intention of the manual is to provide lab users and MSU students with a complete

More information

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon Chapter 5 Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon 5.1 Introduction In this chapter, we discuss a method of metallic bonding between two deposited silver layers. A diffusion

More information

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate Development of Sidewalls Passivating Films Sidewalls get inert species deposited on them with plasma etch Creates

More information

Dr. Priyabrat Dash Office: BM-406, Mob: Webpage: MB: 205

Dr. Priyabrat Dash   Office: BM-406, Mob: Webpage:  MB: 205 Email: dashp@nitrkl.ac.in Office: BM-406, Mob: 8895121141 Webpage: http://homepage.usask.ca/~prd822/ MB: 205 Nonmanufacturing In continuation from last class... 2 Top-Down methods Mechanical-energy methods

More information

Low-temperature, Simple and Fast Integration Technique of Microfluidic Chips by using a UV-curable Adhesive

Low-temperature, Simple and Fast Integration Technique of Microfluidic Chips by using a UV-curable Adhesive Low-temperature, Simple and Fast Integration Technique of Microfluidic Chips by using a UV-curable Adhesive Supplementary Information Channel fabrication Glass microchannels. A borosilicate glass wafer

More information

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high vacuum ~10-7 torr Removes residual gases eg oxygen from

More information

Basic&Laboratory& Materials&Science&and&Engineering& Micro&Electromechanical&Systems&& (MEMS)&

Basic&Laboratory& Materials&Science&and&Engineering& Micro&Electromechanical&Systems&& (MEMS)& Basic&Laboratory& Materials&Science&and&Engineering& Micro&Electromechanical&Systems&& (MEMS)& M105& As of: 27.10.2011 1 Introduction... 2 2 Materials used in MEMS fabrication... 2 3 MEMS fabrication processes...

More information

UV5 POSITIVE DUV PHOTORESIST For DUV Applications

UV5 POSITIVE DUV PHOTORESIST For DUV Applications UV5 POSITIVE DUV PHOTORESIST For DUV Applications DESCRIPTION UV5 positive DUV photo resist has been optimized to provide vertical profile imaging of isolated and semidense features for device production

More information

AC : MICROWAVE PLASMA CLEANER DESIGN FOR SEMI- CONDUCTOR FABRICATION AND MATERIALS PROCESSING LABO- RATORY USE

AC : MICROWAVE PLASMA CLEANER DESIGN FOR SEMI- CONDUCTOR FABRICATION AND MATERIALS PROCESSING LABO- RATORY USE AC 2011-2416: MICROWAVE PLASMA CLEANER DESIGN FOR SEMI- CONDUCTOR FABRICATION AND MATERIALS PROCESSING LABO- RATORY USE Mustafa G. Guvench, University of Southern Maine Mustafa G. Guvench received M.S.

More information

Mater. Res. Soc. Symp. Proc. Vol Materials Research Society

Mater. Res. Soc. Symp. Proc. Vol Materials Research Society Mater. Res. Soc. Symp. Proc. Vol. 940 2006 Materials Research Society 0940-P13-12 A Novel Fabrication Technique for Developing Metal Nanodroplet Arrays Christopher Edgar, Chad Johns, and M. Saif Islam

More information

Lecture 10: MultiUser MEMS Process (MUMPS)

Lecture 10: MultiUser MEMS Process (MUMPS) MEMS: Fabrication Lecture 10: MultiUser MEMS Process (MUMPS) Prasanna S. Gandhi Assistant Professor, Department of Mechanical Engineering, Indian Institute of Technology, Bombay, 1 Recap Various VLSI based

More information

MEMS LAB MANUAL. Matthew Leone Todd Kaiser Montana State University. Special Thanks to: Andy Lingley Brad Pierson Phil Himmer

MEMS LAB MANUAL. Matthew Leone Todd Kaiser Montana State University. Special Thanks to: Andy Lingley Brad Pierson Phil Himmer MEMS LAB MANUAL 2007 A complete description of the fabrication sequence for piezoresistive MEMS sensors. This manual was designed for use with the Montana Microfabrication Facility at MSU. Special Thanks

More information

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material on any substrate (in principal) Start with pumping down

More information

KGC SCIENTIFIC Making of a Chip

KGC SCIENTIFIC  Making of a Chip KGC SCIENTIFIC www.kgcscientific.com Making of a Chip FROM THE SAND TO THE PACKAGE, A DIAGRAM TO UNDERSTAND HOW CPU IS MADE? Sand CPU CHAIN ANALYSIS OF SEMICONDUCTOR Material for manufacturing process

More information

Fabrication Techniques for Thin-Film Silicon Layer Transfer

Fabrication Techniques for Thin-Film Silicon Layer Transfer Fabrication Techniques for Thin-Film Silicon Layer Transfer S. L. Holl a, C. A. Colinge b, S. Song b, R. Varasala b, K. Hobart c, F. Kub c a Department of Mechanical Engineering, b Department of Electrical

More information

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process Data Package

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process Data Package AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process Data Package The information contained herein is, as far as we are aware, true and accurate. However, no representations

More information

Atomic Layer Deposition(ALD)

Atomic Layer Deposition(ALD) Atomic Layer Deposition(ALD) AlO x for diffusion barriers OLED displays http://en.wikipedia.org/wiki/atomic_layer_deposition#/media/file:ald_schematics.jpg Lam s market-leading ALTUS systems combine CVD

More information

UTILIZATION OF ATMOSPHERIC PLASMA SURFACE PREPARATION TO IMPROVE COPPER PLATING PROCESSES.

UTILIZATION OF ATMOSPHERIC PLASMA SURFACE PREPARATION TO IMPROVE COPPER PLATING PROCESSES. SESSION 14 MATERIALS AND PROCESSES FOR ADVANCED PACKAGING UTILIZATION OF ATMOSPHERIC PLASMA SURFACE PREPARATION TO IMPROVE COPPER PLATING PROCESSES. Eric Schulte 1, Gilbert Lecarpentier 2 SETNA Corporation

More information

UV5 POSITIVE DUV PHOTORESIST For Microlithography Applications

UV5 POSITIVE DUV PHOTORESIST For Microlithography Applications UV5 POSITIVE DUV PHOTORESIST For Microlithography Applications DESCRIPTION UV5 positive DUV photoresist has been optimized to provide vertical profile imaging of isolated and semidense features for device

More information

1. Introduction. What is implantation? Advantages

1. Introduction. What is implantation? Advantages Ion implantation Contents 1. Introduction 2. Ion range 3. implantation profiles 4. ion channeling 5. ion implantation-induced damage 6. annealing behavior of the damage 7. process consideration 8. comparison

More information

Surface micromachining and Process flow part 1

Surface micromachining and Process flow part 1 Surface micromachining and Process flow part 1 Identify the basic steps of a generic surface micromachining process Identify the critical requirements needed to create a MEMS using surface micromachining

More information

PHYSICAL ELECTRONICS(ECE3540) Brook Abegaz, Tennessee Technological University, Fall 2013

PHYSICAL ELECTRONICS(ECE3540) Brook Abegaz, Tennessee Technological University, Fall 2013 PHYSICAL ELECTRONICS(ECE3540) Brook Abegaz, Tennessee Technological University, Fall 2013 1 Chapter 1 The Crystal Structure of Solids Physical Electronics: Includes aspects of the physics of electron movement

More information

Etching Mask Properties of Diamond-Like Carbon Films

Etching Mask Properties of Diamond-Like Carbon Films N. New Nawachi Diamond et al. and Frontier Carbon Technology 13 Vol. 15, No. 1 2005 MYU Tokyo NDFCT 470 Etching Mask Properties of Diamond-Like Carbon Films Norio Nawachi *, Akira Yamamoto, Takahiro Tsutsumoto

More information

Drytech Quad Etch Recipes Dr. Lynn Fuller Mike Aquilino Microelectronic Engineering

Drytech Quad Etch Recipes Dr. Lynn Fuller Mike Aquilino Microelectronic Engineering ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Drytech Quad Etch Recipes Dr. Lynn Fuller Mike Aquilino 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Fax (585) 475-5041

More information

Advanced developer-soluble gap-fill materials and applications

Advanced developer-soluble gap-fill materials and applications Advanced developer-soluble gap-fill materials and applications Runhui Huang, Dan Sullivan, Anwei Qin, Shannon Brown Brewer Science, Inc., 2401 Brewer Dr., Rolla, MO, USA, 65401 ABSTRACT For the via-first

More information

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS AND FABRICATION ENGINEERING ATTHE MICRO- NANOSCALE Fourth Edition STEPHEN A. CAMPBELL University of Minnesota New York Oxford OXFORD UNIVERSITY PRESS CONTENTS Preface xiii prrt i OVERVIEW AND MATERIALS

More information

DuPont MX5000 Series

DuPont MX5000 Series DuPont MX5000 Series DATA SHEET & PROCESSING INFORMATION High Performance Multi-Purpose Polymer Film for MEMS Applications PRODUCT FEATURES/ APPLICATIONS Negative working, aqueous processable dry film

More information

UV6 POSITIVE DUV PHOTORESIST For DUV Applications

UV6 POSITIVE DUV PHOTORESIST For DUV Applications UV6 POSITIVE DUV PHOTORESIST For DUV Applications DESCRIPTION UV6 Positive DUV Photoresist has been optimized to provide vertical profile imaging of dense and semi-isolated features for device production

More information

Filling and Planarizing Deep Trenches with Polymeric Material for Through-Silicon Via Technology

Filling and Planarizing Deep Trenches with Polymeric Material for Through-Silicon Via Technology Filling and Planarizing Deep Trenches with Polymeric Material for Through-Silicon Via Technology R.K. Trichur, M. Fowler, J.W. McCutcheon, and M. Daily Brewer Science, Inc. 2401 Brewer Drive Rolla, MO

More information

MCC. PMGI Resists NANO PMGI RESISTS OFFER RANGE OF PRODUCTS

MCC. PMGI Resists NANO PMGI RESISTS OFFER RANGE OF PRODUCTS MCC PMGI RESISTS OFFER Sub.25µm lift-off processing Film thicknesses from 5µm Choice of resin blends for optimal undercut control High thermal stability Superior adhesion to Si, NiFe, GaAs, InP

More information

Rapid Thermal Processing (RTP) Dr. Lynn Fuller

Rapid Thermal Processing (RTP) Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Rapid Thermal Processing (RTP) Dr. Lynn Fuller Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585)

More information

Thin. Smooth. Diamond.

Thin. Smooth. Diamond. UNCD Wafers Thin. Smooth. Diamond. UNCD Wafers - A Family of Diamond Material UNCD is Advanced Diamond Technologies (ADT) brand name for a family of thin fi lm diamond products. UNCD Aqua The Aqua series

More information

Processing Procedures for CYCLOTENE 3000 Series Dry Etch Resins

Processing Procedures for CYCLOTENE 3000 Series Dry Etch Resins Revised: April 2008 Processing Procedures for CYCLOTENE 3000 Series Dry Etch Resins 1. INTRODUCTION The CYCLOTENE 3000 series Advanced Electronic Resins derived from B-staged bisbenzocyclobutene (BCB)

More information

CMOS FABRICATION. n WELL PROCESS

CMOS FABRICATION. n WELL PROCESS CMOS FABRICATION n WELL PROCESS Step 1: Si Substrate Start with p- type substrate p substrate Step 2: Oxidation Exposing to high-purity oxygen and hydrogen at approx. 1000 o C in oxidation furnace SiO

More information

Lecture Day 2 Deposition

Lecture Day 2 Deposition Deposition Lecture Day 2 Deposition PVD - Physical Vapor Deposition E-beam Evaporation Thermal Evaporation (wire feed vs boat) Sputtering CVD - Chemical Vapor Deposition PECVD LPCVD MVD ALD MBE Plating

More information

High Rate Deposition of Reactive Oxide Coatings by New Plasma Enhanced Chemical Vapor Deposition Source Technology

High Rate Deposition of Reactive Oxide Coatings by New Plasma Enhanced Chemical Vapor Deposition Source Technology General Plasma, Inc. 546 East 25th Street Tucson, Arizona 85713 tel. 520-882-5100 fax. 520-882-5165 High Rate Deposition of Reactive Oxide Coatings by New Plasma Enhanced Chemical Vapor Deposition Source

More information

LAM4600 Plasma Etch Tool Recipes Dr. Lynn Fuller Webpage:

LAM4600 Plasma Etch Tool Recipes Dr. Lynn Fuller Webpage: ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING LAM4600 Plasma Etch Tool Recipes Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Email:

More information

CHAPTER 1 HOW SEMICONDUCTOR CHIPS ARE MADE

CHAPTER 1 HOW SEMICONDUCTOR CHIPS ARE MADE CHAPTER 1 HOW SEMICONDUCTOR CHIPS ARE MADE Hwaiyu Geng Hewlett-Packard Company Palo Alto, California Lin Zhou Intel Corporation Hillsboro, Oregon 1.1 INTRODUCTION Over the past decades, an information

More information

4/10/2012. Introduction to Microfabrication. Fabrication

4/10/2012. Introduction to Microfabrication. Fabrication Introduction to Microfabrication Fabrication 1 MEMS Fabrication Flow Basic Process Flow in Micromachining Nadim Maluf, An introduction to Microelectromechanical Systems Engineering 2 Thin Film Deposition

More information

Bulk MEMS Fabrication Blog 2017 Dr. Lynn Fuller, Casey Gonta, Patsy Cadareanu

Bulk MEMS Fabrication Blog 2017 Dr. Lynn Fuller, Casey Gonta, Patsy Cadareanu ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Bulk MEMS Fabrication Blog 2017 Dr. Lynn Fuller, Casey Gonta, Patsy Cadareanu Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive

More information

Radiation Tolerant Isolation Technology

Radiation Tolerant Isolation Technology Radiation Tolerant Isolation Technology Background The following contains a brief description of isolation technologies used for radiation hardened integrated circuits. The technologies mentioned are junction

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time Etching Dry etch (anisotropic) SiO

More information

Alternative Methods of Yttria Deposition For Semiconductor Applications. Rajan Bamola Paul Robinson

Alternative Methods of Yttria Deposition For Semiconductor Applications. Rajan Bamola Paul Robinson Alternative Methods of Yttria Deposition For Semiconductor Applications Rajan Bamola Paul Robinson Origin of Productivity Losses in Etch Process Aggressive corrosive/erosive plasma used for etch Corrosion/erosion

More information

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation Micro-Electro-Mechanical Systems (MEMS) Fabrication Fabrication Considerations Stress-Strain, Thin-film Stress, Stiction Special Process Modules for MEMS Bonding, Cavity Sealing, Deep RIE, Spatial forming

More information

Fabrication and Layout

Fabrication and Layout Fabrication and Layout Kenneth Yun UC San Diego Adapted from EE271 notes, Stanford University Overview Semiconductor properties How chips are made Design rules for layout Reading Fabrication: W&E 3.1,

More information

BASICS OF MANUFACTURING INTEGRATED CIRCUITS

BASICS OF MANUFACTURING INTEGRATED CIRCUITS BASICS OF MANUFACTURING INTEGRATED CIRCUITS Opportunities for filtration exist for the manufacture of very small electronic components referred to as semiconductors. This broad category includes devices

More information

LOW TEMPERATURE PHOTONIC SINTERING FOR PRINTED ELECTRONICS. Dr. Saad Ahmed XENON Corporation November 19, 2015

LOW TEMPERATURE PHOTONIC SINTERING FOR PRINTED ELECTRONICS. Dr. Saad Ahmed XENON Corporation November 19, 2015 LOW TEMPERATURE PHOTONIC SINTERING FOR PRINTED ELECTRONICS Dr. Saad Ahmed XENON Corporation November 19, 2015 Topics Introduction to Pulsed Light Photonic sintering for Printed Electronics R&D Tools for

More information

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) contents UNIT I INTRODUCTION: Introduction to IC Technology MOS, PMOS, NMOS, CMOS & BiCMOS technologies. BASIC ELECTRICAL PROPERTIES : Basic Electrical

More information

Section 4: Thermal Oxidation. Jaeger Chapter 3. EE143 - Ali Javey

Section 4: Thermal Oxidation. Jaeger Chapter 3. EE143 - Ali Javey Section 4: Thermal Oxidation Jaeger Chapter 3 Properties of O Thermal O is amorphous. Weight Density =.0 gm/cm 3 Molecular Density =.3E molecules/cm 3 O Crystalline O [Quartz] =.65 gm/cm 3 (1) Excellent

More information

Optimization of Ion and Electron Properties in IC Packaging Applications

Optimization of Ion and Electron Properties in IC Packaging Applications Optimization of Ion and Electron Properties in IC Packaging Applications Plasma surface-treatment techniques can improve wire bonding and eliminate substrate delamination. Christa Fairfield Nordson MARCH

More information

SU-8 Overhanging Structures Using a Photoresist Sacrificial Layer and Embedded Aluminum Mask

SU-8 Overhanging Structures Using a Photoresist Sacrificial Layer and Embedded Aluminum Mask SU-8 Overhanging Structures Using a Photoresist Sacrificial Layer and Embedded Aluminum Mask By: See-Ho Tsang, Dan Sameoto, Sae-Won Lee A MAJOR PROJECT REPORT SUBMITTED IN PARTIAL FULLFILLMENT FOR ENGINEERING

More information

Using Argon Plasma to Remove Fluorine, Organic and Metal Oxide Contamination for Improved Wire Bonding Performance

Using Argon Plasma to Remove Fluorine, Organic and Metal Oxide Contamination for Improved Wire Bonding Performance Using Argon Plasma to Remove Fluorine, Organic and Metal Oxide Contamination for Improved Wire Bonding Performance Scott D. Szymanski March Plasma Systems Concord, California, U.S.A. sszymanski@marchplasma.com

More information

Atul Gupta, Eric Snyder, Christiane Gottschalk, Kevin Wenzel, James Gunn

Atul Gupta, Eric Snyder, Christiane Gottschalk, Kevin Wenzel, James Gunn First Demonstration of Photoresist Cleaning for Fine-Line RDL Yield Enhancement by an Innovative Ozone Treatment Process for Panel Fan-out and Interposers Atul Gupta, Eric Snyder, Christiane Gottschalk,

More information

ME 141B: The MEMS Class Introduction to MEMS and MEMS Design. Sumita Pennathur UCSB

ME 141B: The MEMS Class Introduction to MEMS and MEMS Design. Sumita Pennathur UCSB ME 141B: The MEMS Class Introduction to MEMS and MEMS Design Sumita Pennathur UCSB Outline today Introduction to thin films Oxidation Deal-grove model CVD Epitaxy Electrodeposition 10/6/10 2/45 Creating

More information

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther EECS 40 Spring 2003 Lecture 19 Microfabrication 4/1/03 Prof. ndy Neureuther How are Integrated Circuits made? Silicon wafers Oxide formation by growth or deposition Other films Pattern transfer by lithography

More information

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

Semiconductor Manufacturing Technology. IC Fabrication Process Overview Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 00 by Prentice Hall Chapter 9 IC Fabrication Process Overview /4 Objectives After studying the material in this chapter, you

More information

6.777J/2.732J Design and Fabrication of Microelectromechanical Devices Spring Term Solution to Problem Set 2 (16 pts)

6.777J/2.732J Design and Fabrication of Microelectromechanical Devices Spring Term Solution to Problem Set 2 (16 pts) 6.777J/2.732J Design and Fabrication of Microelectromechanical Devices Spring Term 2007 By Brian Taff (Adapted from work by Feras Eid) Solution to Problem Set 2 (16 pts) Issued: Lecture 4 Due: Lecture

More information

SU Permanent Epoxy Negative Photoresist PROCESSING GUIDELINES FOR:

SU Permanent Epoxy Negative Photoresist PROCESSING GUIDELINES FOR: SU-8 2000 Permanent Epoxy Negative Photoresist PROCESSING GUIDELINES FOR: SU-8 2100 and SU-8 2150 www.microchem.com SU-8 2000 is a high contrast, epoxy based photoresist designed for micromachining and

More information

Multilayer barrier for particle encapsulation

Multilayer barrier for particle encapsulation Chapter 7 Multilayer barrier for particle encapsulation The permeation barrier film is made by plasma-enhanced chemical vapor deposition (PECVD) from hexamethyldisiloxane and oxygen such that the radio-frequency

More information