MOS Front-End. Field effect transistor

Size: px
Start display at page:

Download "MOS Front-End. Field effect transistor"

Transcription

1 MOS Front-End Back-end Transistor Contact Front-end p-well STI n-well Front-end-of-line includes substrate, isolation, wells, transistor, silicide Field effect transistor MOSFET: Metal-Oxide-Semiconductor Field Effect Transistor Invented: Lilienfeld First made: Kahng, Attala 1960 Properties of a MOSFET: Small area Low power Simple technology Lower switching speed than bipolar device CMOS: Complementary MOS: NMOS + PMOS 1

2 NMOS and PMOS transistors NMOS Free electron Free hole PMOS Conducts at +V G Conducts at -V G NMOS + PMOS = CMOS: why? CMOS - inverter layout V dd V in PMOS B = nwell V ss V out V dd V in V out n-well NMOS B = pwell p-substrate Circuit schematic Silicon cross section 2

3 From NMOS to CMOS V dd High Low Low High Capacitive load High Low Low High GND NMOS inverter CMOS inverter NMOS logic: high power during low output. NMOS inverter slowly switches to high output. (Same effects in PMOS logic.) Present CMOS 0.18 µm CMOS is now produced by all major manufacturers. This process features: µm gate length, µm pitch nm gate oxide (regular SiO 2 ) 5-6 levels of metal interconnect About 10 7 transistors on a 1 cm 2 chip 1.2 GHz on-chip clock frequency 3

4 10 5 Gordon Moore 1965 (Prediction) Moore s Law 10 8 INTEL microprocessors Source: Intel website Pentium 4 Number of components per chip Transistors per chip Year Year Brews Law L t ox x j W s L min = 0.4 [ x j t ox (W s + W d ) 2 ] 1/3 L min : minimum gate length without short channel effects x j : junction depth (µm) t ox : oxide thickness (Å) W s, W d : depletion widths of source and drain junctions (µm) Moore s law implies gate length scaling Brews law implies: many other dimensions scale with it 4

5 ITRS roadblocks in CMOS front-end ITRS 2000 topics with no known solution : 90 nm node: Shallow junctions with x j nm, R s Ω/ 60 nm node: Gate dielectric thickness < 1.2 nm Gate tunnel current < 20 A/cm 2 Gate doping > 4x10 20 cm -3 In other words: the MOS transistor requires several research breakthroughs to continue scaling beyond the 100 nm node! Outline Introduction to CMOS (why CMOS?) CMOS process flow CMOS process modules (step by step) 5

6 STI (Shallow Trench Insolation) formation (field isolation) Sacrificial oxide (warstwa protekcyjna) on top of silicon: To avoid contamination Retrograde (wsteczne) n-well formation n-well 6

7 Retrograde p-well formation p-well n-well Gate oxide growth + poly deposition poly 7

8 After polysilicon deposition Photo: Philips Research After gate etch - S/D formation 8

9 PMOS S/D extension implant (and NMOS extension implant) Spacer formation thin oxide + nitride 9

10 NMOS - S/D implant Simultaneous source, drain and gate doping, and well contact doping PMOS - S/D implant 10

11 After S/D implants Silicidation TiSi 2 or CoSi 2 (sub-0.18 µm technologies) 11

12 TEM cross-section after silicidation TiSi 2 Spacer Poly gate TiSi 2 Photo: Intel Contact formation 12

13 CMOS inverter after first metal input input 0.25 µm CMOS after Metal 6 13

14 Outline Introduction to CMOS (why CMOS?) CMOS process flow CMOS process modules (step by step) CMOS process modules Field isolation Wells Gate dielectric Gate conductor Shallow junctions Pocket implants Spacers Source, drain and gate doping (Silicide) 14

15 Field isolation Purpose: to electrically isolate adjacent MOSFETs Traditional in bipolar and MOS: LOCOS isolation sub-0.35 µm CMOS: always Shallow Trench Isolation LOCOS field isolation Si 3 N 4 SiO 2 Si Stack deposition Stack etch LOCal Oxidation of Silicon Stack removal 15

16 Shallow trench isolation (STI) Stack deposition Oxidation Trench fill Stack removal Trench etch (deposition & CMP) Transistor well formation Purpose of the well: opposite-type to S/D: to give isolation between S/D and wafer (reverse-biased diodes) inversion gives channel conductivity Issues: Doping level determines V T and short channel effects Diode leakage, capacitance, parasites Deep doping (~ 1 µm) Vertical and lateral grading super steep retrograde well pockets 16

17 Conventional well Concentration As implanted After 6h 1150ºC in N 2 n-well Depth 1D depth profiles P-substrate 2D cross section Standard Technology in > 0.5 µm CMOS generations + Low cost - standard equipment - Large temperature budget: long time - high T - Large lateral diffusion - Highest doping concentration at surface Retrograde well As implanted Concentration n-well Depth n-well P-substrate Standard in (sub) 0.25 µm CMOS technologies + Buried peak doping concentration (channel stop, latch up,...) + Low temperature budget - Dedicated high energy ion implanter needed for n-well - Higher junction capacitance 17

18 Super steep retrograde well SSR peak Concentration Depth Well Ideal Realistic Source Gate SSR Well Drain < 0.25 µm CMOS: high channel doping to control short channel effects low V T necessary SSR well: low surface doping (giving low V T and high mobility) high doping at nm depth (reduces short channel effects) Gate oxide + gate polysilicon 18

19 Gate oxide formation Remove the existing SiO 2 that screens the silicon Clean the wafer Oxidize the wafer at high temperature Post-anneal (N 2, N 2 O, NO ) Immediately deposit polysilicon gate on top Thin oxide growth Furnace Wet (diluted H 2 O) - low temperature ( ºC) Dry (diluted) O 2 ( + nitridation N 2 O, NO) Temperature: ºC + Standard method, excellent uniformity, batch process - Run takes several hours. Very difficult for t ox < 2.5 nm Rapid Thermal Oxidation: Dry O 2 ( + nitridation N 2 O, NO) + Growth at higher temperature more nitrogen incorporation + Only a few minutes per wafer - Uniformity, reproducibility 19

20 TEM cross section thin oxide G. Timp et al., IEDM 1999 ITRS roadmap: oxide thickness (Equivalent) Oxide Thickness (nm) CMOS generation (µm) Leakage current through SiO 2 increases exponentially 20

21 Tunnel current n-gate (band diagrams at negative gate voltage) 3.1 ev n-gate qv G SiO 2 p-substrate SiO 2 p-substrate Thick oxide (> 4 nm): triangular tunnel barrier Fowler-Nordheim tunneling Thin oxide (< 4 nm): Trapezoidal barrier Direct tunneling Tunnel current - very thin oxide J G (A/cm 2 ) 1.E+02 1.E+01 1.E+00 1.E-01 1.E-02 1.E-03 1.E-04 1.E-05 Measurement Model t ox = 1.4nm t ox = 1.7nm t ox = 2.2nm Van Langevelde, IEDM 2001 V GS (V) Leakage current exceeds 1A/cm 2 (!) at 100 nm CMOS generation 21

22 ITRS: gate dielectric will change 2.5 SiO 2 High k EOT (nm) CMOS generation (µm) But: no high-k dielectric yet fulfills all requirements! Candidate high-k gate dielectrics vacuum SiO 2 TiO Al 2 O 2 3 Si 3 N HfO 2 4 Ta 2 O 5 ZrO 2 BST K:

23 Gate electrode formation Dual-flavor gate technology in CMOS n + and p + doping of polysilicon Gate depletion Boron penetration Dual-flavor polysilicon gates n + poly for the NMOS transistor, p + poly for the PMOS Symmetric: given same oxide thickness and doping levels, V T NMOS = -V T PMOS Excellent work functions Convenient processing: self-aligned source, gate, drain and well contact implant; all activated together. Issues: how to achieve high gate doping connection between n + poly and p + poly (inter-diffusion of impurities between n + and p + poly) 23

24 Doping of polysilicon n + gate doping with S/D implant Phosphorus gives the best gate doping: very high solubility, high diffusion, high activation but: too high diffusion for source/drain implant Arsenic more complicated: lower diffusion constant, lower activation getters at the Si/SiO 2 interface; evaporates de-activates in ºC thermal treatments Antimony: too low solubility (4x10 19 cm -3 at 1000ºC) 24

25 p + gate doping with S/D implant Indium: Too low solubility (< cm -3 ) Diffuses through gate oxide ( indium penetration ) Boron: The only option (either with B or BF 2 implant) Risk of boron penetration (since 0.25 µm CMOS) Clustering above solubility limit: problematic de-activates in ºC thermal treatments Gate depletion V G = V FB V G > V FB V G >> V FB V G >>> V FB channel n + gate Ionized (activated) As atom Free electron n + poly gate for NMOS: gate depletion is inevitable Lower capacitance less current 25

26 Boron penetration Gate oxide [B] (cm -3 ) polysilicon monosilicon as-implanted proper activation boron penetration depth Gate doping > cm -3 Channel doping << cm -3 Slight boron penetration dramatic V T shift Solutions: reduce thermal steps, replace gate oxide... PMOS S/D extension implant 26

27 Extensions: purpose and requirements Suppress short channel effects Add series resistance (good for µm technology, LDDs) Brews Law: L min [ x j t ox (W s + W d ) 2 ] 1/3 Criteria for shallow junctions: Junction depth Treated in the following slides Sheet resistance Good diode operation Junction profile steepness Uniformity, reproducibility Low defect density (residual crystal damage) CMOS compatible (materials, thermal budget) ITRS scaling of shallow junctions Junction depth (nm) Sheet resistance (Ω/) PMOS NMOS CMOS generation (µm) CMOS generation (µm) 27

28 Sheet resistance Impurity concentration Depth profile x j Source/drain Channel Depth Sheet resistance R s depends on the concentration of free carriers C(x) and on the mobility of these carriers µ(c): x=x j R s = 1 / q C(x) µ(c) dx x=0 (approximation for not-too-steep profiles) Aim for high concentration; junction depth is imposed by generation. Steep tail at fixed x j lower resistivity! Junction depth Definition: junction depth (x j ) = metallurgical junction: the depth where the n-type concentration equals the p-type concentration. Determination of junction depth: SIMS (or SRP). Impurity concentration Source/drain Channel Steepness is important; but also the proper activation of the peak (should be below the solubility limit at the anneal temperature) x j Depth 28

29 B and As diffusion - example Data: Philips Research B concentration (cm -3 ) As concentration (cm -3 ) Depth (nm) Depth (nm) High T pushes junction - much stronger effect for Boron Shallow junction annealing Furnace anneals: typically 2 + -hour runs, slow ramp up and down too much TED too much diffusion Rapid thermal anneals Spike RTA anneals Laser anneals > 0.25 µm < 0.13 µm µm Photo: Sematech 29

30 Rapid thermal anneal cycle temperature Anneal (0-60 seconds) Ramp-up (50ºC/s) Ramp-down (25ºC/s) First ramp-up Stabilisation C time Pockets Large Angle Tilt Punch Through Stopper Also called: HALO latips well Pocket Punch through stopper implanted under tilt angle after gate formation Reduces depletion regions of source and drain Angle, dose and energy are critical parameters + low channel doping, low V T possible (low voltage technology) - Process control, more expensive implanter 30

31 Spacer formation Standard: TEOS or Si 3 N 4 deposition Plasma etch Spacer process flows Two-layer deposition (e.g. SiO 2 + Si 3 N 4 ) Plasma etch of top layer Optional etch of layer 2 31

32 PMOS - S/D implant Source/drain implant Purpose: S/D implant adds impurities to the shallow junctions: lower sheet resistance deeper junction (convenient for salicidation) Gate doping Doping of the well contacts Optimization: Low sheet resistance of junctions and poly Low gate depletion - no boron penetration Good diodes; suppression of junction spiking Low salicide contact resistance 32

33 Activation anneal Last step of the MOS front-end fabrication Activation of: gate, source and drain implants High temperature required for good activation Short time required for suppression of diffusion Therefore: again, Rapid Thermal Anneal Optimization of this step is truly process integration Fabrication after this anneal can influence activation: de-activation further diffusion (dr. R.A.M. Wolters ) MOS front-end Further reading S. Wolf - The submicron MOSFET F. Pierret - Field effect devices A. S. Grove: Physics and technology of semiconductor devices, John Wiley & sons The Technology roadmap for semiconductors: A dictionary of semiconductors: Nice 3D view of transistor fabrication and operation: Many interesting links at 33

34 Closing remarks MOS technology changed a lot over the years CMOS front-end-of-line technology gets complex many new materials tight process windows incredible pace of innovation Scaling down to 30 nm feasible Many challenges for research! 34

Process Integration. NMOS Generic NMOS Process Flow. CMOS - The MOSIS Process Flow

Process Integration. NMOS Generic NMOS Process Flow. CMOS - The MOSIS Process Flow Process Integration Self-aligned Techniques LOCOS- self-aligned channel stop Self-aligned Source/Drain Lightly Doped Drain (LDD) Self-aligned silicide (SALICIDE) Self-aligned oxide gap MEMS Release Techniques

More information

EE 560 FABRICATION OF MOS CIRCUITS. Kenneth R. Laker, University of Pennsylvania

EE 560 FABRICATION OF MOS CIRCUITS. Kenneth R. Laker, University of Pennsylvania 1 EE 560 FABRICATION OF MOS CIRCUITS 2 CMOS CHIP MANUFACTRING STEPS Substrate Wafer Wafer Fabrication (diffusion, oxidation, photomasking, ion implantation, thin film deposition, etc.) Finished Wafer Wafer

More information

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1 Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1 LECTURE 030 INTEGRATED CIRCUIT TECHNOLOGY - I (References [7,8]) Objective The objective of this presentation is: 1.) Illustrate integrated

More information

FABRICATION of MOSFETs

FABRICATION of MOSFETs FABRICATION of MOSFETs CMOS fabrication sequence -p-type silicon substrate wafer -creation of n-well regions for pmos transistors, -impurity implantation into the substrate. -thick oxide is grown in the

More information

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) contents UNIT I INTRODUCTION: Introduction to IC Technology MOS, PMOS, NMOS, CMOS & BiCMOS technologies. BASIC ELECTRICAL PROPERTIES : Basic Electrical

More information

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook:

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook: HOMEWORK 4 and 5 March 15, 2009 Homework is due on Monday March 30, 2009 in Class. Chapter 7 Answer the following questions from the Course Textbook: 7.2, 7.3, 7.4, 7.5, 7.6*, 7.7, 7.9*, 7.10*, 7.16, 7.17*,

More information

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation. Figure 2.1 (p. 58) Basic fabrication steps in the silicon planar process: (a) oxide formation, (b) selective oxide removal, (c) deposition of dopant atoms on wafer, (d) diffusion of dopant atoms into exposed

More information

Cost of Integrated Circuits

Cost of Integrated Circuits Cost of IC Design 1 Cost of Integrated Circuits NRE (Non-Recurrent Engineering) costs fixed design time and effort, mask generation independent of sales volume / number of products one-time cost factor

More information

Silicon Wafer Processing PAKAGING AND TEST

Silicon Wafer Processing PAKAGING AND TEST Silicon Wafer Processing PAKAGING AND TEST Parametrical test using test structures regularly distributed in the wafer Wafer die test marking defective dies dies separation die fixing (not marked as defective)

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time Etching Dry etch (anisotropic) SiO

More information

EE 434 Lecture 9. IC Fabrication Technology

EE 434 Lecture 9. IC Fabrication Technology EE 434 Lecture 9 IC Fabrication Technology Quiz 7 The layout of a film resistor with electrodes A and B is shown. If the sheet resistance of the film is 40 /, determine the resistance between nodes A and

More information

Isolation Technology. Dr. Lynn Fuller

Isolation Technology. Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Isolation Technology Dr. Lynn Fuller Motorola Professor 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Fax (585) 475-5041

More information

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras Lecture - 33 Problems in LOCOS + Trench Isolation and Selective Epitaxy So, we are discussing

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

Development of a Deep-Submicron CMOS Process for Fabrication of High Performance 0.25 mm Transistors

Development of a Deep-Submicron CMOS Process for Fabrication of High Performance 0.25 mm Transistors Development of a Deep-Submicron CMOS Process for Fabrication of High Performance 0.25 mm Transistors Michael Aquilino M.S. Thesis Defense Department May 19, 2006 Motivation o Enable the department to continue

More information

Lecture 200 BiCMOS Technology (12/12/01) Page 200-1

Lecture 200 BiCMOS Technology (12/12/01) Page 200-1 Lecture 200 BiCMOS Technology (12/12/01) Page 200-1 LECTURE 200 BICMOS TECHNOLOGY (READING: Text-Sec. 2.11) INTRODUCTION Objective Illustrate BiCMOS technology Outline Introduction Physical process illustration

More information

Lecture 22: Integrated circuit fabrication

Lecture 22: Integrated circuit fabrication Lecture 22: Integrated circuit fabrication Contents 1 Introduction 1 2 Layering 4 3 Patterning 7 4 Doping 8 4.1 Thermal diffusion......................... 10 4.2 Ion implantation.........................

More information

Fabrication and Layout

Fabrication and Layout Fabrication and Layout Kenneth Yun UC San Diego Adapted from EE271 notes, Stanford University Overview Semiconductor properties How chips are made Design rules for layout Reading Fabrication: W&E 3.1,

More information

CMOS Manufacturing Process

CMOS Manufacturing Process CMOS Manufacturing Process CMOS Process A Modern CMOS Process gate-oxide TiSi 2 AlCu Tungsten SiO 2 n+ p-well p-epi poly n-well p+ SiO 2 p+ Dual-Well Trench-Isolated CMOS Process Circuit Under Design V

More information

Fabrication and Layout

Fabrication and Layout ECEN454 Digital Integrated Circuit Design Fabrication and Layout ECEN 454 3.1 A Glimpse at MOS Device Polysilicon Aluminum ECEN 475 4.2 1 Material Classification Insulators Glass, diamond, silicon oxide

More information

VLSI Systems and Computer Architecture Lab

VLSI Systems and Computer Architecture Lab ΚΥΚΛΩΜΑΤΑ VLSI Πανεπιστήμιο Ιωαννίνων CMOS Technology Τμήμα Μηχανικών Η/Υ και Πληροφορικής 1 From the book: An Introduction ti to VLSI Process By: W. Maly ΚΥΚΛΩΜΑΤΑ VLSI Διάρθρωση 1. N well CMOS 2. Active

More information

MOS Gate Dielectrics. Outline

MOS Gate Dielectrics. Outline MOS Gate Dielectrics Outline Scaling issues Technology Reliability of SiO 2 Nitrided SiO 2 High k dielectrics 42 Incorporation of N or F at the Si/SiO 2 Interface Incorporating nitrogen or fluorine instead

More information

Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very

Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very high voltages (10-600 KeV) Use analyzer to selection charge/mass

More information

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

Semiconductor Manufacturing Technology. IC Fabrication Process Overview Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 00 by Prentice Hall Chapter 9 IC Fabrication Process Overview /4 Objectives After studying the material in this chapter, you

More information

Isolation of elements

Isolation of elements 1 In an IC, devices on the same substrate must be isolated from one another so that there is no current conduction between them. Isolation uses either the junction or dielectric technique or a combination

More information

Manufacturing Process

Manufacturing Process Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Manufacturing Process July 30, 2002 1 CMOS Process 2 A Modern CMOS Process gate-oxide TiSi 2 AlCu Tungsten

More information

EEC 118 Lecture #5: MOS Fabrication. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

EEC 118 Lecture #5: MOS Fabrication. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation EEC 118 Lecture #5: MOS Fabrication Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation Announcements Lab 3 this week, report due next week HW 3 due this Friday at 4

More information

National Semiconductor LM2672 Simple Switcher Voltage Regulator

National Semiconductor LM2672 Simple Switcher Voltage Regulator Construction Analysis National Semiconductor LM2672 Simple Switcher Voltage Regulator Report Number: SCA 9712-570 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale,

More information

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther EECS 40 Spring 2003 Lecture 19 Microfabrication 4/1/03 Prof. ndy Neureuther How are Integrated Circuits made? Silicon wafers Oxide formation by growth or deposition Other films Pattern transfer by lithography

More information

CMOS FABRICATION. n WELL PROCESS

CMOS FABRICATION. n WELL PROCESS CMOS FABRICATION n WELL PROCESS Step 1: Si Substrate Start with p- type substrate p substrate Step 2: Oxidation Exposing to high-purity oxygen and hydrogen at approx. 1000 o C in oxidation furnace SiO

More information

EE 330 Fall Ruden Michael. Al Kaabi Humaid. Archer Tyler. Hafeez Mustafa. Mullen Taylor. Thedens Peter. Cao Khoi.

EE 330 Fall Ruden Michael. Al Kaabi Humaid. Archer Tyler. Hafeez Mustafa. Mullen Taylor. Thedens Peter. Cao Khoi. 1 2 5 6 3 4 8 7 1 2 3 4 5 6 ROW EE 330 Fall 2017 9 10 Al Kaabi Humaid Alegria Francisco Allison Trenton Alva Caroline Archer Tyler Bahashwan Abdullah Betke Jarrett Chun Junho Davidson Caleb Faronbi Matthew

More information

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005 3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005 1) This is an open book, take-home quiz. You are not to consult with other class members or anyone else. You may discuss the

More information

Layout-related stress effects on TID-induced leakage current

Layout-related stress effects on TID-induced leakage current Layout-related stress effects on TID-induced leakage current Nadia Rezzak, R. D. Schrimpf, M. L. Alles, En Xia Zhang, Daniel M. Fleetwood, Yanfeng Albert Li Radiation Effects Group Vanderbilt University,

More information

Intel Pentium Processor W/MMX

Intel Pentium Processor W/MMX Construction Analysis Intel Pentium Processor W/MMX Report Number: SCA 9706-540 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax:

More information

Lecture 4. Oxidation (applies to Si and SiC only) Reading: Chapter 4

Lecture 4. Oxidation (applies to Si and SiC only) Reading: Chapter 4 Lecture 4 Oxidation (applies to Si and SiC only) Reading: Chapter 4 Introduction discussion: Oxidation: Si (and SiC) Only The ability to grow a high quality thermal oxide has propelled Si into the forefront

More information

Ion Implantation Most modern devices doped using ion implanters Implant dopants by accelerating individual atoms (ions) Ionize gas sources (single +,

Ion Implantation Most modern devices doped using ion implanters Implant dopants by accelerating individual atoms (ions) Ionize gas sources (single +, Ion Implantation Most modern devices doped using ion implanters Implant dopants by accelerating individual atoms (ions) Ionize gas sources (single +, 2+ or 3+ ionization) Use analyzer to selection charge/mass

More information

EE-612: Lecture 28: Overview of SOI Technology

EE-612: Lecture 28: Overview of SOI Technology EE-612: Lecture 28: Overview of SOI Technology Mark Lundstrom Electrical and Computer Engineering Purdue University West Lafayette, IN USA Fall 2006 NCN www.nanohub.org Lundstrom EE-612 F06 1 outline 1)

More information

Annual Meeting. North Carolina State University Dr. Veena Misra. January 17 19, 2017 December

Annual Meeting. North Carolina State University Dr. Veena Misra. January 17 19, 2017 December Annual Meeting North Carolina State University Dr. Veena Misra January 17 19, 2017 December 8 2015 1 Misra Group at NCSU Over 9 years experience in wide band gap research on SiC, GaN and Ga2O3. World leaders

More information

CMOS Manufacturing process. Circuit designer. Design rule set. Process engineer. Set of optical masks. Fabrication process.

CMOS Manufacturing process. Circuit designer. Design rule set. Process engineer. Set of optical masks. Fabrication process. CMOS Manufacturing process Circuit design Set of optical masks Fabrication process Circuit designer Design rule set Process engineer All material: Chap. 2 of J. Rabaey, A. Chandrakasan, B. Nikolic, Digital

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

Design and Optimization of 22nm NMOS Transistor

Design and Optimization of 22nm NMOS Transistor Australian Journal of Basic and Applied Sciences, 6(7): 1-8, 01 ISSN 1991-8178 Design and Optimization of nm NMOS Transistor 1 Afifah Maheran A.H., 1 Menon, P.S., I. Ahmad, 1 S. Shaari, 1 H.A. Elgomati,

More information

Historical Development. Babbage s second computer. Before the digital age

Historical Development. Babbage s second computer. Before the digital age Historical Development To fully appreciate the computers of today, it is helpful to understand how things got the way they are The evolution of computing machinery has taken place over several centuries

More information

2006 UPDATE METROLOGY

2006 UPDATE METROLOGY INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS METROLOGY THE ITRS DEVED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING TO INDIVIDUAL PRODUCTS

More information

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS AND FABRICATION ENGINEERING ATTHE MICRO- NANOSCALE Fourth Edition STEPHEN A. CAMPBELL University of Minnesota New York Oxford OXFORD UNIVERSITY PRESS CONTENTS Preface xiii prrt i OVERVIEW AND MATERIALS

More information

Motorola PC603R Microprocessor

Motorola PC603R Microprocessor Construction Analysis Motorola PC603R Microprocessor Report Number: SCA 9709-551 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780 Fax:

More information

Lezioni di Tecnologie e Materiali per l Elettronica

Lezioni di Tecnologie e Materiali per l Elettronica Lezioni di Tecnologie e Materiali er l Elettronica Danilo Manstretta danilo.manstretta@univ.it microlab.univ.it Outline Passive comonents Resistors Caacitors Inductors Printed circuits technologies Materials

More information

1 Thin-film applications to microelectronic technology

1 Thin-film applications to microelectronic technology 1 Thin-film applications to microelectronic technology 1.1 Introduction Layered thin-film structures are used in microelectronic, opto-electronic, flat panel display, and electronic packaging technologies.

More information

Contact Resistance Reduction using Advanced Implant and Anneal Techniques for 7nm Node and Beyond

Contact Resistance Reduction using Advanced Implant and Anneal Techniques for 7nm Node and Beyond Contact Resistance Reduction using Advanced Implant and Anneal Techniques for 7nm Node and Beyond Fareen Adeni Khaja Global Product Manager, Front End Products Transistor and Interconnect Group NCCAVS

More information

Workfunction Tuning for Single-Metal Dual-Gate With Mo and NiSi Electrodes

Workfunction Tuning for Single-Metal Dual-Gate With Mo and NiSi Electrodes tivation Workfunction Tuning for ngle-metal Dual-Gate With and i Electrodes poly- Gate Gate depletion effect -Effective oxide thickness increase Metal Gate o gate depletion effect K.Sano, M.Hino, and K.Shibahara

More information

Schottky-Barrier-Height Modulation of Ni Silicide/Si Contacts by Insertion of Thin Er or Pt Layers

Schottky-Barrier-Height Modulation of Ni Silicide/Si Contacts by Insertion of Thin Er or Pt Layers Schottky-Barrier-Height Modulation of Ni Silicide/Si Contacts by Insertion of Thin Er or Pt Layers Yoshihisa Ohishi 1, Kohei Noguchi 1, Kuniyuki Kakushima 2, Parhat Ahmet 1, Kazuo Tsutsui 2, Nobuyuki Sugii

More information

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University 2014 Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University Page1 Syllabus UNIT 1 Introduction to VLSI Technology: Classification of ICs, Scale of integration,

More information

Is Now Part of To learn more about ON Semiconductor, please visit our website at

Is Now Part of To learn more about ON Semiconductor, please visit our website at Is Now Part of To learn more about ON Semiconductor, please visit our website at www.onsemi.com ON Semiconductor and the ON Semiconductor logo are trademarks of Semiconductor Components Industries, LLC

More information

Lecture 2. Fabrication and Layout

Lecture 2. Fabrication and Layout Lecture 2 Fabrication and Layout Mark Horowitz Modified by Azita Emami Computer Systems Laboratory Stanford University azita@stanford.edu 1 Overview Reading W&E 3.1(scan), 3.2.1, 3.3.1 - Fabrication W&E

More information

1. Introduction. What is implantation? Advantages

1. Introduction. What is implantation? Advantages Ion implantation Contents 1. Introduction 2. Ion range 3. implantation profiles 4. ion channeling 5. ion implantation-induced damage 6. annealing behavior of the damage 7. process consideration 8. comparison

More information

Radiation Tolerant Isolation Technology

Radiation Tolerant Isolation Technology Radiation Tolerant Isolation Technology Background The following contains a brief description of isolation technologies used for radiation hardened integrated circuits. The technologies mentioned are junction

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 9/13/2007 Fabrication Technology Lecture 1 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world)

More information

Interconnects OUTLINE

Interconnects OUTLINE Interconnects 1 Interconnects OUTLINE 1. Overview of Metallization 2. Introduction to Deposition Methods 3. Interconnect Technology 4. Contact Technology 5. Refractory Metals and their Silicides Reading:

More information

Oxide Growth. 1. Introduction

Oxide Growth. 1. Introduction Oxide Growth 1. Introduction Development of high-quality silicon dioxide (SiO2) has helped to establish the dominance of silicon in the production of commercial integrated circuits. Among all the various

More information

Semiconductor Technology

Semiconductor Technology Semiconductor Technology from A to Z Oxidation www.halbleiter.org Contents Contents List of Figures List of Tables II III 1 Oxidation 1 1.1 Overview..................................... 1 1.1.1 Application...............................

More information

Tri-Gate Transistor Architecture with High-k Gate Dielectrics, Metal Gates and Strain Engineering

Tri-Gate Transistor Architecture with High-k Gate Dielectrics, Metal Gates and Strain Engineering Tri-Gate Transistor Architecture with High-k Gate Dielectrics, Metal Gates and Strain Engineering Jack Kavalieros, Brian Doyle, Suman Datta, Gilbert Dewey, Mark Doczy, Ben Jin, Dan Lionberger, Matthew

More information

Lecture 7 CMOS MEMS. CMOS MEMS Processes. CMOS MEMS Processes. Why CMOS-MEMS? Agenda: CMOS MEMS: Fabrication. MEMS structures can be made

Lecture 7 CMOS MEMS. CMOS MEMS Processes. CMOS MEMS Processes. Why CMOS-MEMS? Agenda: CMOS MEMS: Fabrication. MEMS structures can be made EEL6935 Advanced MEMS (Spring 2005) Instructor: Dr. Huikai Xie CMOS MEMS Agenda: Lecture 7 CMOS MEMS: Fabrication Pre-CMOS Intra-CMOS Post-CMOS Deposition Etching Why CMOS-MEMS? Smart on-chip CMOS circuitry

More information

Metallization. Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance

Metallization. Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance Metallization Interconnects Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance RC time delay Inter-Metal Dielectric -Prefer low dielectric constant to reduce capacitance

More information

Modeling, Simulation and Fabrication of 100 nm (Leff) High Performance CMOS Transistors

Modeling, Simulation and Fabrication of 100 nm (Leff) High Performance CMOS Transistors Rochester Institute of Technology RIT Scholar Works Theses Thesis/Dissertation Collections 8-14-2014 Modeling, Simulation and Fabrication of 100 nm (Leff) High Performance CMOS Transistors Chandan K. Amareshbabu

More information

Semiconductor Physics Course Final Presentation CMOS Fabrication by Özgür Çobanoğlu (Turin, 2006)

Semiconductor Physics Course Final Presentation CMOS Fabrication by Özgür Çobanoğlu (Turin, 2006) Semiconductor Physics Course Final Presentation CMOS Fabrication by Özgür Çobanoğlu (Turin, 2006) 1 Outline Sections and Contents of the Presentation What is MOS? How does MOS behave in low level? A useful

More information

Laser Spike Annealing for sub-20nm Logic Devices

Laser Spike Annealing for sub-20nm Logic Devices Laser Spike Annealing for sub-20nm Logic Devices Jeff Hebb, Ph.D. July 10, 2014 1 NCCAVS Junction Technology Group Semicon West Meeting July 10, 2014 Outline Introduction Pattern Loading Effects LSA Applications

More information

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing ELEC 3908, Physical Electronics, Lecture 4 Basic Integrated Circuit Processing Lecture Outline Details of the physical structure of devices will be very important in developing models for electrical behavior

More information

Modeling of Local Oxidation Processes

Modeling of Local Oxidation Processes Introduction Isolation Processes in the VLSI Technology Main Aspects of LOCOS simulation Athena Oxidation Models Several Examples of LOCOS structures Calibration of LOCOS effects using VWF Field Oxide

More information

Technology. Semiconductor Manufacturing. Hong Xiao INTRODUCTION TO SECOND EDITION SPIE PRESS

Technology. Semiconductor Manufacturing. Hong Xiao INTRODUCTION TO SECOND EDITION SPIE PRESS INTRODUCTION TO Semiconductor Manufacturing Technology SECOND EDITION Hong Xiao TECHNISCHE INFORMATIONSBiBUOTHEK UNIVERSITATSBIBLIOTHEK HANNOVER SPIE PRESS Bellingham,Washington USA Contents Preface to

More information

Manufacturer Part Number. Module 2: CMOS FEOL Analysis

Manufacturer Part Number. Module 2: CMOS FEOL Analysis Manufacturer Part Number description Module 2: CMOS FEOL Analysis Manufacturer Device # 2 Some of the information is this report may be covered by patents, mask and/or copyright protection. This report

More information

VLSI Technology. By: Ajay Kumar Gautam

VLSI Technology. By: Ajay Kumar Gautam By: Ajay Kumar Gautam Introduction to VLSI Technology, Crystal Growth, Oxidation, Epitaxial Process, Diffusion Process, Ion Implantation, Lithography, Etching, Metallization, VLSI Process Integration,

More information

Metallization. Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance

Metallization. Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance Metallization Interconnects Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance RC time delay Inter-Metal Dielectric -Prefer low dielectric constant to reduce capacitance

More information

Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric

Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric K. Matano 1, K. Funamizu 1, M. Kouda 1, K. Kakushima 2, P. Ahmet 1, K. Tsutsui 2, A. Nishiyama 2, N. Sugii

More information

Process Flow in Cross Sections

Process Flow in Cross Sections Process Flow in Cross Sections Process (simplified) 0. Clean wafer in nasty acids (HF, HNO 3, H 2 SO 4,...) --> wear gloves! 1. Grow 500 nm of SiO 2 (by putting the wafer in a furnace with O 2 2. Coat

More information

Semiconductor Technology

Semiconductor Technology Semiconductor Technology von A bis Z Metallization www.halbleiter.org Contents Contents List of Figures List of Tables II III 1 Metallization 1 1.1 Requirements on metallization........................

More information

Silicon Oxides: SiO 2

Silicon Oxides: SiO 2 Silicon Oxides: SiO 2 Uses: diffusion masks surface passivation gate insulator (MOSFET) isolation, insulation Formation: grown / native thermal: highest quality anodization deposited: C V D, evaporate,

More information

State of the art quality of a GeOx interfacial passivation layer formed on Ge(001)

State of the art quality of a GeOx interfacial passivation layer formed on Ge(001) APPLICATION NOTE State of the art quality of a Ox interfacial passivation layer formed on (001) Summary A number of research efforts have been made to realize Metal-Oxide-Semiconductor Field Effect Transistors

More information

SGS-Thomson M17C1001 1Mb UVEPROM

SGS-Thomson M17C1001 1Mb UVEPROM Construction Analysis SGS-Thomson M17C1001 1Mb UVEPROM Report Number: SCA 9612-518 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780

More information

Fabrication Technology

Fabrication Technology Fabrication Technology By B.G.Balagangadhar Department of Electronics and Communication Ghousia College of Engineering, Ramanagaram 1 OUTLINE Introduction Why Silicon The purity of Silicon Czochralski

More information

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials Interconnects Outline Interconnect scaling issues Aluminum technology Copper technology 1 Properties of Interconnect Materials Metals Silicides Barriers Material Thin film Melting resistivity point ( C)

More information

Advanced Gate Stack, Source/Drain, and Channel Engineering for Si-Based CMOS 6: New Materials, Processes, and Equipment

Advanced Gate Stack, Source/Drain, and Channel Engineering for Si-Based CMOS 6: New Materials, Processes, and Equipment Advanced Gate Stack, Source/Drain, and Channel Engineering for Si-Based CMOS 6: New Materials, Processes, and Equipment Editors: E. P. Gusev Qualcomm MEMS Technologies San Jose, California, USA D-L. Kwong

More information

Dallas Semicoductor DS80C320 Microcontroller

Dallas Semicoductor DS80C320 Microcontroller Construction Analysis Dallas Semicoductor DS80C320 Microcontroller Report Number: SCA 9702-525 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone:

More information

Epi Replacement and up to 30% Process Simplification in a CMOS Foundry Environment Using the BILLI Structure

Epi Replacement and up to 30% Process Simplification in a CMOS Foundry Environment Using the BILLI Structure Epi Replacement and up to 30% Process Simplification in a CMOS Foundry Environment Using the BILLI Structure Martin Teague, Susan Johns, Rob Haase, Paul Jones & Peter Lister Newport Waferfab Limited, Cardiff

More information

Section 4: Thermal Oxidation. Jaeger Chapter 3. EE143 - Ali Javey

Section 4: Thermal Oxidation. Jaeger Chapter 3. EE143 - Ali Javey Section 4: Thermal Oxidation Jaeger Chapter 3 Properties of O Thermal O is amorphous. Weight Density =.0 gm/cm 3 Molecular Density =.3E molecules/cm 3 O Crystalline O [Quartz] =.65 gm/cm 3 (1) Excellent

More information

Making III-V contact with silicon substrates

Making III-V contact with silicon substrates 106Technology focus: III-Vs on silicon Making III-V contact with silicon substrates High-speed logic, high-frequency/high-power transistors and photonics systems could benefit from marrying with silicon

More information

Copyright by. Puneet Kohli

Copyright by. Puneet Kohli Copyright by Puneet Kohli 2003 The Dissertation Committee for Puneet Kohli Certifies that this is the approved version of the following dissertation: Fundamental Understanding of the Physics and Modeling

More information

IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 55, NO. 4, AUGUST

IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 55, NO. 4, AUGUST IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 55, NO. 4, AUGUST 2008 1833 Radiation Effects in MOS Oxides James R. Schwank, Fellow, IEEE, Marty R. Shaneyfelt, Fellow, IEEE, Daniel M. Fleetwood, Fellow, IEEE,

More information

Lattice isplsi1032e CPLD

Lattice isplsi1032e CPLD Construction Analysis Lattice isplsi1032e CPLD Report Number: SCA 9612-522 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax: 602-948-1925

More information

Defect Engineering in Advanced Devices on High-Mobility Substrates

Defect Engineering in Advanced Devices on High-Mobility Substrates Defect Engineering in Advanced Devices on High-Mobility Substrates C. Claeys 1,2 1 IMEC, Leuven, Belgium 2 E.E. Dept., KU Leuven, Leuven, Belgium Outline Introduction Defect Studies Why important Challenges

More information

id : class06 passwd: class06

id : class06 passwd: class06 http://wwwee.stanford.edu/class_directory.php http://ocw.mit.edu/ocwweb/index.htm http://nanosioe.ee.ntu.edu.tw id : class06 passwd: class06 Display and OLED Market OLED on glass only ~US$ 0.5B in 04,

More information

New Materials as an enabler for Advanced Chip Manufacturing

New Materials as an enabler for Advanced Chip Manufacturing New Materials as an enabler for Advanced Chip Manufacturing Drive Innovation, Deliver Excellence ASM International Analyst and Investor Technology Seminar Semicon West July 10 2013 Outline New Materials:

More information

CHAPTER 1 HOW SEMICONDUCTOR CHIPS ARE MADE

CHAPTER 1 HOW SEMICONDUCTOR CHIPS ARE MADE CHAPTER 1 HOW SEMICONDUCTOR CHIPS ARE MADE Hwaiyu Geng Hewlett-Packard Company Palo Alto, California Lin Zhou Intel Corporation Hillsboro, Oregon 1.1 INTRODUCTION Over the past decades, an information

More information

Advanced CMOS Process Technology Part 3 Dr. Lynn Fuller

Advanced CMOS Process Technology Part 3 Dr. Lynn Fuller MICROELECTRONIC ENGINEERING ROCHESTER INSTITUTE OF TECHNOLOGY Part 3 Dr. Lynn Fuller Webpage: http://people.rit.edu/lffeee Electrical and Microelectronic Engineering Rochester Institute of Technology 82

More information

Silicon Manufacturing

Silicon Manufacturing Silicon Manufacturing Group Members Young Soon Song Nghia Nguyen Kei Wong Eyad Fanous Hanna Kim Steven Hsu th Fundamental Processing Steps 1.Silicon Manufacturing a) Czochralski method. b) Wafer Manufacturing

More information

(12) Patent Application Publication (10) Pub. No.: US 2006/ A1

(12) Patent Application Publication (10) Pub. No.: US 2006/ A1 (19) United States US 20060228850A1 (12) Patent Application Publication (10) Pub. No.: US 2006/0228850 A1 Tsai et al. (43) Pub. Date: Oct. 12, 2006 (54) PATTERN LOADING EFFECT REDUCTION FOR SELECTIVE EPTAXAL

More information

Rockwell R RF to IF Down Converter

Rockwell R RF to IF Down Converter Construction Analysis Rockwell R6732-13 RF to IF Down Converter Report Number: SCA 9709-552 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780

More information

CHAPTER 2 - CMOS TECHNOLOGY

CHAPTER 2 - CMOS TECHNOLOGY CMOS Analog Circuit Design Page 2.0-1 CHAPTER 2 - CMOS TECHNOLOGY Chapter Outline 2.1 Basic MOS Semiconductor Fabrication Processes 2.2 CMOS Technology 2.3 PN Junction 2.4 MOS Transistor 2.5 Passive Components

More information

FOR SEMICONDUCTORS 2009 EDITION

FOR SEMICONDUCTORS 2009 EDITION INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2009 EDITION FRONT END PROCESSES THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS

More information

Portland Technology Development, * CR, # QRE, % PTM Intel Corporation

Portland Technology Development, * CR, # QRE, % PTM Intel Corporation A 45nm Logic Technology with High-k + Metal Gate Transistors, Strained Silicon, 9 Cu Interconnect Layers, 193nm Dry Patterning, and 100% Pb-free Packaging K. Mistry, C. Allen, C. Auth, B. Beattie, D. Bergstrom,

More information

CHAD ROBERT ESSARY UNIVERSITY OF FLORIDA

CHAD ROBERT ESSARY UNIVERSITY OF FLORIDA ULTRAVIOLET-ASSISTED OXIDATION AND NITRIDATION OF HAFNIUM AND HAFNIUM ALUMINUM ALLOYS AS POTENTIAL GATE DIELECTRICS FOR METAL OXIDE SEMICONDUCTOR APPLICATIONS By CHAD ROBERT ESSARY A DISSERTATION PRESENTED

More information

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA Overview of CMP for TSV Applications Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA Outline TSV s and the Role of CMP TSV Pattern and Fill TSV Reveal (non-selective)

More information