Fabrication of regular silicon microstructures by photo-electrochemical etching of silicon

Size: px
Start display at page:

Download "Fabrication of regular silicon microstructures by photo-electrochemical etching of silicon"

Transcription

1 phys. stat. sol. (c) 2, No. 9, (2005) / DOI /pssc Fabrication of regular silicon microstructures by photo-electrochemical etching of silicon G. Barillaro *, P. Bruschi, A. Diligenti, and A. Nannini Dipartimento di Ingegneria dell Informazione, Università di Pisa, Via Caruso, Pisa, Italy Received 25 July 2004, revised 14 November 2004, accepted 27 January 2005 Published online 9 June 2005 PACS Cy, Qr, Vp In this paper photo-electrochemical etching of silicon in HF-based solutions is employed as a versatile technique for fabrication of original silicon microstructures, alternative to commonly used methods. Photo-electrochemical etching, a well known technique for regular macropore formation, has been exploited to produce a multitude of different regular silicon microstructures (microtubes, microtips, microchannels, microspirals, micropillars, microwalls, etc.). This micromachining technique is here detailed and some applications are reported. 1 Introduction Bulk silicon micromachining etching techniques [1], which selectively remove material from silicon substrates, are a very powerful tool for fabricating sensors, actuators and passive structures for automotive (i.e. accelerometers, gyroscope), biomedical (i.e. DNA chip), optic (i.e. photonic crystals), space (i.e. field emitter array) applications. For a given application the choice of appropriate etching method depends upon a number of factors, including the shape of the desired structures, the surface roughness, etchant cost, equipment cost, safety and availability. Even if several important properties (etching rate, masking film, etc.) should be considered, bulk micromachining techniques can be basically distinguished on the basis of 1) the degree of anisotropy and 2) the phase (wet or dry) of the etchant. In many cases, such as micromachining of structures as membranes, grooves, etc. isotropic or anisotropic wet chemical etching (HNA, KOH, TMAH, EDP) are fully satisfactory. In other cases, where a high aspect ratio of etched structures is required dry etching methods are more appropriate. Photo-electrochemical etching of silicon in HF-based electrolytes is a well known technique for fabrication of micromachined structures and devices by using porous silicon as sacrificial layer [2]. Moreover, regular macropores formation in n-doped silicon wafers by using this technique has been proposed as well [3, 4]. Applications of such structures to IR filters [5], photonic crystals [6] and micromechanical systems [7] have been reported as well. However, it was only very recently that photo-electrochemical etching has been verified as a tool for bulk silicon micromachining [8]. As a matter of fact, in ref. 8 microstructures with unusual shape (i.e. tubes, tips, channels, squared spirals, pillars, walls, etc.) were fabricated using this technique. In this paper we describe a further improvement of the photo-electrochemical etching of silicon in terms of flexibility, size, shape and porosity, of feasible microstructues. Some applications, as thick silicon oxide layer production and silicon field emitter array fabrication, are briefly reported. * Corresponding author: g.barillaro@ing.unipi.it, Phone: , Fax:

2 phys. stat. sol. (c) 2, No. 9 (2005) / Fabrication process Silicon microstructures were fabricated using the photo-electrochemical etching technique according to the process schematically shown in Fig. 1 (left). The starting material is a n-type silicon wafer, 100 oriented, with (2.4 4) Ω cm resistivity. Patterns are defined on a oxide layer using a standard photolithographic process and Buffered HF (BHF) etch (Fig. 1a). A KOH etching is then used to transfer the pattern to the silicon so producing in the substrate an array of pyramidal notches which is used as a seed for photo-electrochemical etching (Fig. 1b). On the same sample, several different patterns (straight lines, square dots and holes, spirals, meander-shaped lines, and others), with different dimensions and pitches were defined. Electrochemical etching in a HF-based solution is then used to fabricate regular structures in the patterned substrate (Fig. 1c). The samples were rinsed in deionized water and then dried in a convection oven at 95 C for 10 minutes. The samples were finally cleaved to allow SEM (Scanning Electron Microscope) observation of the cross-sections. In Fig. 1 (right) a top view of a regular macropore array, fabricated using the described process, is shown. The front side of the sample is exposed to the electrolyte in a PTFE (Polytetrafluoroethylene) electrochemical cell with a platinum cathode, which is about 5 mm from the sample surface. The electrolyte (HF 48% :C 2 H 5 OH 99,9% :H 2 O, 1:2:17 by volume) is stirred to reduce hydrogen bubble formation. The area of the sample exposed to the electrolyte is about 0.6 cm 2 and has a circular shape. Electron-hole pairs are generated by illuminating the back side of the sample with a 300 W halogen lamp, 15 cm apart from the sample, through a circular window in the aluminum sheet, used to provide the back electrical contact to the sample. The power supply of the lamp can be varied to modulate the etching photocurrent. A Keithley2400 Source Measure Unit was used to apply the anodization voltage and monitor the etching current. All the experiments were executed at room temperature. 3 Experimental results and discussion The regular macropore array reported in Fig. 1(right) is the typical structure fabricated in literature using the photo-electrochemical etching of silicon in HF-based solutions. Regular macropore formation in silicon substrates has been reported for the first time by Lehmann in 1990 [3, 4]. However, for several years, it was thought that only regular macropore array can be produced by means of this etching techa) b) c) HF silicon silicon dioxide Fig. 1 Fabrication process of silicon microstructures (left) and typical macropore array (right) using photoelectrochemical etching of silicon in HF-based solution.

3 3200 G. Barillaro et. al.: Fabrication of regular silicon microstructures nique and that severe limitation exists for its fabrication. The region of stable growth strongly depends on the substrate resistivity. The sensitivity of the system to small variations of pore size and pitch is very high (for instance, a reduction of the pitch by only 3% changes a perfect pore pattern to a defective one). No porosity variation (the porosity, P, is defined as the fraction of removed silicon) can be obtained on the same silicon sample. Fig. 2 SEM photograph of two complementary structures, a pillar array in the left and a tube array in the right, fabricated by photo-electrochemical etching of silicon in HF-based electrolytes. In a recent work, we demonstrated that the commonly accepted constraints on macropore dimension and pitch (once the resistivity of the silicon substrate is chosen) can be significantly relaxed [9]. In ref. [9] regular pores with sides ranging from 2 µm up to 15 µm and pitch variation up to 100% were fabricated on the same Ω cm silicon substrate. Moreover, we showed that macropore formation is just a feature of photo-electrochemical etching and that by changing the initial pattern it is possible to fabricate a variety of silicon microstructures with more complicated shape [8]. As a matter of fact, fabrication of regular arrays of walls, tubes, spirals, pillars was demonstrated. Two impressive examples are shown in Fig. 2. Fig. 3 SEM photograph of the top-view (left) and section (right) of circular silicon spiral array fabricated by using the photo-electrochemical etching in HF-based solutions.

4 phys. stat. sol. (c) 2, No. 9 (2005) / P=60% P=60% P=70% P=60% Reference array Reference array Fig. 4 SEM photograph of adjacent arrays with different pitch, different hole dimension and i) same porosity (left), ii) different porosity (right), fabricated on the same sample. In this paper we describe a further improvement of the photo-electrochemical etching of silicon in terms of flexibility, size and shape, of feasible microstructues. We also demonstrate that it is possible to fabricate structures with different porosity on the same sample. As far as the shape of microstructures is concerned, circular spirals were fabricated, as clearly reveals Fig. 3. In this case, after the lithographic step, an isotropic etchant of silicon (HNA) was used to transfer the pattern to the substrate in order to produce the seed pattern for the electrochemical etching. KOH etching is a crystalline depending wet etching so that it can not be used to produce a circular seed in the substrate, as in the case of a spiral pattern. It is clear from Fig. 3 that photo-electrochemical etching does not depend on the crystalline orientation of the substrate: if (100) oriented silicon is used the trenches grow deep in the substrate independently from the surface orientation of the lithographic pattern, similarly to a dry etching process. This is a remarkable feature of this etching method, unusual for anisotropic silicon wet etching. As far as size, pitch and porosity of feasible structures are concerned, two kinds of seed patterns were etched on the same substrate: the former was constituted of adjacent squared hole arrays with 100% variation of the hole dimension, 100% variation of pitch and same porosity; the latter was constituted of adjacent squared hole arrays with 100% variation of the hole dimension, 50% variation of pitch and different porosity. Right arrays of both the patterns were identical and they were used as reference to study the effect of the pattern dimension on the etching. The resulting structures, after the electrochemical etching step, are shown in Fig. 4. As it is visible in Fig. 4, according to the etched seed: 1) reference arrays still remain identical after the electrochemical etching; 2) arrays in Fig. 4 (left) show 100% variation both of size and pitch and a constant porosity; 3) arrays in Fig. 4 (right) show a 100% variation of the size, 50% variation of pitch and different porosity. The performed experiment shows that: the initial porosity (porosity of the seed) clearly affects the final porosity, in contrast with previously reported results [4, 8]; structures with different porosity can be obtained on the same sample, so enhancing the flexibility of this etching method. The main properties of the proposed technique are: 1) high lateral (parallel to the wafer surface) and vertical (perpendicular to the wafer surface) uniformity; 2) good reproducibility, provided that the etching parameters (voltage, current density, HF concentration, etc.) are fixed; 3) high aspect ratio (over 250) and then high integration density capability; 4) good flexibility about the structure shape; 5) independence from crystalline directions of the substrate; 6) independent control of lateral dimensions (by means of the etching current density) and vertical dimensions (by means of the etching time). The main drawback of the proposed process is given by the presence of the HF, which is a corrosive agent, especially for metals and oxide layers. However, a selective area protection can be achieved by using a Si 3 N 4 layer as a mask.

5 3202 G. Barillaro et. al.: Fabrication of regular silicon microstructures Fig. 5 A 40 µm thick silicon dioxide layer by exploiting the photo-electrochemical etching of silicon. As far as application of this technique is concerned, two very attractive examples can be mentioned: thick ( µm) silicon dioxide layers (Fig. 5) and gated silicon microtips. The latter application is the subject of the paper in ref. 10. Thick silicon dioxide layer have been produced by electrochemical trenching of silicon and refilling of trenches by thermal oxidation [11]. Electrochemical etching was used to fabricate an array of parallel crystalline walls in a silicon substrate. Size and pitch were chosen in order that when all the crystalline silicon of walls was converted to silicon dioxide, trenches were perfectly refilled by the outgrowing oxide itself. 4 Conclusions In this work we demonstrated that photo-electrochemical etching of silicon in HF-based electrolytes can be considered as a promising micromachining technique. This etching method has been demonstrated to be similar to anisotropic dry etching methods. This is an exclusive characteristic with respect to the well known anisotropic wet etching of silicon. The technique has been successfully applied to the fabrication of thick silicon dioxide layer and silicon field emitter array. References [1] E. Petersen and al., Proc. IEEE 86 (8), 1536 (1998). [2] P. Steiner and W. Lang, Thin Solid Films 255, 52 (1995). [3] V. Lehmann and H. Föll, J. Electrochem. Soc. 137, 653 (1990). [4] V. Lehmann, Thin Solid Films 255, 1-4 (1995). [5] V. Lehmann et al., Appl. Phys. Lett. 78, (2001). [6] S. Rowson et al., J. Lightwave Technol. 17, (1999). [7] H.Ohji et al., J. Micromech. Microeng. 10, (2000). [8] G. Barillaro et al., J. Electrochem. Soc. 149, 180 (2002). [9] G. Barillaro et al., Sens. Actuators A 102, (2002). [10] G. Barillaro et al., Extended Abstracts IVth Int. Conf. PSST, 66 (2004) Cullera-Valencia, Spain. [11] G. Barillaro et al., Sens. Actuators A 107, (2003).

There are basically two approaches for bulk micromachining of. silicon, wet and dry. Wet bulk micromachining is usually carried out

There are basically two approaches for bulk micromachining of. silicon, wet and dry. Wet bulk micromachining is usually carried out 57 Chapter 3 Fabrication of Accelerometer 3.1 Introduction There are basically two approaches for bulk micromachining of silicon, wet and dry. Wet bulk micromachining is usually carried out using anisotropic

More information

5.8 Diaphragm Uniaxial Optical Accelerometer

5.8 Diaphragm Uniaxial Optical Accelerometer 5.8 Diaphragm Uniaxial Optical Accelerometer Optical accelerometers are based on the BESOI (Bond and Etch back Silicon On Insulator) wafers, supplied by Shin-Etsu with (100) orientation, 4 diameter and

More information

MEMS prototyping using RF sputtered films

MEMS prototyping using RF sputtered films Indian Journal of Pure & Applied Physics Vol. 45, April 2007, pp. 326-331 MEMS prototyping using RF sputtered films Sudhir Chandra, Vivekanand Bhatt, Ravindra Singh, Preeti Sharma & Prem Pal* Centre for

More information

ME 189 Microsystems Design and Manufacture. Chapter 9. Micromanufacturing

ME 189 Microsystems Design and Manufacture. Chapter 9. Micromanufacturing ME 189 Microsystems Design and Manufacture Chapter 9 Micromanufacturing This chapter will offer an overview of the application of the various fabrication techniques described in Chapter 8 in the manufacturing

More information

PARAMETER EFFECTS FOR THE GROWTH OF THIN POROUS ANODIC ALUMINUM OXIDES

PARAMETER EFFECTS FOR THE GROWTH OF THIN POROUS ANODIC ALUMINUM OXIDES 10.1149/1.2794473, The Electrochemical Society PARAMETER EFFECTS FOR THE GROWTH OF THIN POROUS ANODIC ALUMINUM OXIDES S. Yim a, C. Bonhôte b, J. Lille b, and T. Wu b a Dept. of Chem. and Mat. Engr., San

More information

Lecture 7 CMOS MEMS. CMOS MEMS Processes. CMOS MEMS Processes. Why CMOS-MEMS? Agenda: CMOS MEMS: Fabrication. MEMS structures can be made

Lecture 7 CMOS MEMS. CMOS MEMS Processes. CMOS MEMS Processes. Why CMOS-MEMS? Agenda: CMOS MEMS: Fabrication. MEMS structures can be made EEL6935 Advanced MEMS (Spring 2005) Instructor: Dr. Huikai Xie CMOS MEMS Agenda: Lecture 7 CMOS MEMS: Fabrication Pre-CMOS Intra-CMOS Post-CMOS Deposition Etching Why CMOS-MEMS? Smart on-chip CMOS circuitry

More information

Plasma-deposited fluoropolymer film mask for local porous silicon formation

Plasma-deposited fluoropolymer film mask for local porous silicon formation Defforge et al. Nanoscale Research Letters 2012, 7:344 NANO EXPRESS Open Access Plasma-deposited fluoropolymer film mask for local porous silicon formation Thomas Defforge 1*, Marie Capelle 1,2, François

More information

Atomic Layer Deposition(ALD)

Atomic Layer Deposition(ALD) Atomic Layer Deposition(ALD) AlO x for diffusion barriers OLED displays http://en.wikipedia.org/wiki/atomic_layer_deposition#/media/file:ald_schematics.jpg Lam s market-leading ALTUS systems combine CVD

More information

Microstructures using RF sputtered PSG film as a sacrificial layer in surface micromachining

Microstructures using RF sputtered PSG film as a sacrificial layer in surface micromachining Sādhanā Vol. 34, Part 4, August 2009, pp. 557 562. Printed in India Microstructures using RF sputtered PSG film as a sacrificial layer in surface micromachining VIVEKANAND BHATT 1,, SUDHIR CHANDRA 1 and

More information

Mater. Res. Soc. Symp. Proc. Vol Materials Research Society

Mater. Res. Soc. Symp. Proc. Vol Materials Research Society Mater. Res. Soc. Symp. Proc. Vol. 940 2006 Materials Research Society 0940-P13-12 A Novel Fabrication Technique for Developing Metal Nanodroplet Arrays Christopher Edgar, Chad Johns, and M. Saif Islam

More information

Bulk Silicon Micromachining

Bulk Silicon Micromachining Bulk Silicon Micromachining Micro Actuators, Sensors, Systems Group University of Illinois at Urbana-Champaign Outline Types of bulk micromachining silicon anisotropic etching crystal orientation isotropic

More information

Procese de depunere in sistemul Plasma Enhanced Chemical Vapor Deposition (PECVD)

Procese de depunere in sistemul Plasma Enhanced Chemical Vapor Deposition (PECVD) Procese de depunere in sistemul Plasma Enhanced Chemical Vapor Deposition (PECVD) Ciprian Iliescu Conţinutul acestui material nu reprezintă in mod obligatoriu poziţia oficială a Uniunii Europene sau a

More information

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing ELEC 3908, Physical Electronics, Lecture 4 Basic Integrated Circuit Processing Lecture Outline Details of the physical structure of devices will be very important in developing models for electrical behavior

More information

300mm Wafer Stain Formation by Spin Etching

300mm Wafer Stain Formation by Spin Etching 10.1149/1.2980313 The Electrochemical Society 300mm Wafer Stain Formation by Spin Etching K. Sato a, S. Mashimoto a, and M. Watanabe a a Process Development, SEZ Japan, Inc., Hongo, Bunkyo-ku 1130033,

More information

Design and fabrication of MEMS devices using the integration of MUMPs, trench-refilled molding, DRIE and bulk silicon etching processes

Design and fabrication of MEMS devices using the integration of MUMPs, trench-refilled molding, DRIE and bulk silicon etching processes TB, KR, JMM/184987, 3/12/2004 INSTITUTE OF PHYSICS PUBLISHING JOURNAL OF MICROMECHANICS AND MICROENGINEERING J. Micromech. Microeng. 15 (2005) 1 8 doi:10.1088/0960-1317/15/0/000 Design and fabrication

More information

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation. Figure 2.1 (p. 58) Basic fabrication steps in the silicon planar process: (a) oxide formation, (b) selective oxide removal, (c) deposition of dopant atoms on wafer, (d) diffusion of dopant atoms into exposed

More information

Surface micromachining and Process flow part 1

Surface micromachining and Process flow part 1 Surface micromachining and Process flow part 1 Identify the basic steps of a generic surface micromachining process Identify the critical requirements needed to create a MEMS using surface micromachining

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

Lecture 5. SOI Micromachining. SOI MUMPs. SOI Micromachining. Silicon-on-Insulator Microstructures. Agenda:

Lecture 5. SOI Micromachining. SOI MUMPs. SOI Micromachining. Silicon-on-Insulator Microstructures. Agenda: EEL6935 Advanced MEMS (Spring 2005) Instructor: Dr. Huikai Xie SOI Micromachining Agenda: SOI Micromachining SOI MUMPs Multi-level structures Lecture 5 Silicon-on-Insulator Microstructures Single-crystal

More information

FABRICATION PROCESSES FOR MAGNETIC MICROACTUATORS WITH POLYSILICON FLEXURES. Jack W. Judy and Richard S. Muller

FABRICATION PROCESSES FOR MAGNETIC MICROACTUATORS WITH POLYSILICON FLEXURES. Jack W. Judy and Richard S. Muller FABRICATION PROCESSES FOR MAGNETIC MICROACTUATORS WITH POLYSILICON FLEXURES Jack W. Judy and Richard S. Muller Berkeley Sensor & Actuator Center (BSAC) Department of EECS, University of California, Berkeley,

More information

Applications of High-Performance MEMS Pressure Sensors Based on Dissolved Wafer Process

Applications of High-Performance MEMS Pressure Sensors Based on Dissolved Wafer Process Applications of High-Performance MEMS Pressure Sensors Based on Dissolved Wafer Process Srinivas Tadigadapa and Sonbol Massoud-Ansari Integrated Sensing Systems (ISSYS) Inc., 387 Airport Industrial Drive,

More information

Vertically aligned Ni magnetic nanowires fabricated by diblock-copolymer-directed Al thin film anodization

Vertically aligned Ni magnetic nanowires fabricated by diblock-copolymer-directed Al thin film anodization Vertically aligned Ni magnetic nanowires fabricated by diblock-copolymer-directed Al thin film anodization Researcher: Kunbae (Kevin) Noh, Graduate Student, MAE Dept. and CMRR Collaborators: Leon Chen,

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 9/13/2007 Fabrication Technology Lecture 1 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world)

More information

SURFACE MICROMACHINING

SURFACE MICROMACHINING SURFACE MICROMACHINING Features are built up, layer by layer on the surface of a substrate. Surface micromachined devices are much smaller than bulk micromachined components. Nature of deposition process

More information

Semiconductor Technology

Semiconductor Technology Semiconductor Technology from A to Z Oxidation www.halbleiter.org Contents Contents List of Figures List of Tables II III 1 Oxidation 1 1.1 Overview..................................... 1 1.1.1 Application...............................

More information

Silicon Nitride Biaxial Pointing Mirrors with Stiffening Ribs

Silicon Nitride Biaxial Pointing Mirrors with Stiffening Ribs Silicon Nitride Biaxial Pointing Mirrors with Stiffening Ribs Todd J. Kaiser, B. Jeffrey Lutzenberger, Robert A. Friholm, Phillip A. Himmer, David L. Dickensheets Department of Electrical and Computer

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2011

EE C245 ME C218 Introduction to MEMS Design Fall 2011 Lecture Outline EE C245 ME C218 Introduction to MEMS Design Fall 2011 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720

More information

3. Overview of Microfabrication Techniques

3. Overview of Microfabrication Techniques 3. Overview of Microfabrication Techniques The Si revolution First Transistor Bell Labs (1947) Si integrated circuits Texas Instruments (~1960) Modern ICs More? Check out: http://www.pbs.org/transistor/background1/events/miraclemo.html

More information

Lecture 10: MultiUser MEMS Process (MUMPS)

Lecture 10: MultiUser MEMS Process (MUMPS) MEMS: Fabrication Lecture 10: MultiUser MEMS Process (MUMPS) Prasanna S. Gandhi Assistant Professor, Department of Mechanical Engineering, Indian Institute of Technology, Bombay, 1 Recap Various VLSI based

More information

TMAH texturisation and etching of interdigitated back-contact solar cells

TMAH texturisation and etching of interdigitated back-contact solar cells Materials Science-Poland, Vol. 24, No. 4, 2006 TMAH texturisation and etching of interdigitated back-contact solar cells P. PAPET, O. NICHIPORUK, A. FAVE, A. KAMINSKI *, B. BAZER-BACHI, M. LEMITI Laboratoire

More information

Passivation of Porous Silicon by LaF 3 Using a Simple Single-Source Chemical Bath Technique

Passivation of Porous Silicon by LaF 3 Using a Simple Single-Source Chemical Bath Technique International Journal of Materials and Chemistry 2012, 2(3): 111-115 DOI: 10.5923/j.ijmc.20120203.05 Passivation of Porous Silicon by LaF 3 Using a Simple Abdul Al Mortuza 1, Md. Hafizur Rahman 2, Sinthia

More information

Available online at ScienceDirect. Energy Procedia 92 (2016 )

Available online at  ScienceDirect. Energy Procedia 92 (2016 ) Available online at www.sciencedirect.com ScienceDirect Energy Procedia 92 (2016 ) 925 931 6th International Conference on Silicon Photovoltaics, SiliconPV 2016 Contacting BBr 3 -based boron emitters with

More information

Lab #2 Wafer Cleaning (RCA cleaning)

Lab #2 Wafer Cleaning (RCA cleaning) Lab #2 Wafer Cleaning (RCA cleaning) RCA Cleaning System Used: Wet Bench 1, Bay1, Nanofabrication Center Chemicals Used: H 2 O : NH 4 OH : H 2 O 2 (5 : 1 : 1) H 2 O : HF (10 : 1) H 2 O : HCl : H 2 O 2

More information

Etching Etching Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference

Etching Etching Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference Etching Etching Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between 2 materials Need strong selectivity from masking

More information

4. Thermal Oxidation. a) Equipment Atmospheric Furnace

4. Thermal Oxidation. a) Equipment Atmospheric Furnace 4. Thermal Oxidation a) Equipment Atmospheric Furnace Oxidation requires precise control of: temperature, T ambient gas, G time spent at any given T & G, t Vito Logiudice 34 4. Thermal Oxidation b) Mechanism

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

Etching Mask Properties of Diamond-Like Carbon Films

Etching Mask Properties of Diamond-Like Carbon Films N. New Nawachi Diamond et al. and Frontier Carbon Technology 13 Vol. 15, No. 1 2005 MYU Tokyo NDFCT 470 Etching Mask Properties of Diamond-Like Carbon Films Norio Nawachi *, Akira Yamamoto, Takahiro Tsutsumoto

More information

Surface Micromachining Process for the Integration of AlN Piezoelectric Microstructures

Surface Micromachining Process for the Integration of AlN Piezoelectric Microstructures Surface Micromachining Process for the Integration of AlN Piezoelectric Microstructures Saravanan. S, Erwin Berenschot, Gijs Krijnen and Miko Elwenspoek Transducers Science and Technology Laboratory University

More information

acta physica slovaca vol. 53 No. 3, June 2003 CHEMICAL ETCHING OF MONO AND POLY-CRYSTALLINE SILICON IN HF/K Cr O /H O SOLUTIONS

acta physica slovaca vol. 53 No. 3, June 2003 CHEMICAL ETCHING OF MONO AND POLY-CRYSTALLINE SILICON IN HF/K Cr O /H O SOLUTIONS acta physica slovaca vol. 53 No. 3, 207 214 June 2003 CHEMICAL ETCHING OF MONO AND POLY-CRYSTALLINE SILICON IN HF/K Cr O /H O SOLUTIONS N. Gabouze 1, S. Belhousse, R. Outemzabet UDTS, 2 Bd Frantz-Fanon,

More information

Gaetano L Episcopo. Introduction to MEMS

Gaetano L Episcopo. Introduction to MEMS Gaetano L Episcopo Introduction to MEMS What are MEMS? Micro Electro Mechanichal Systems MEMS are integrated devices, or systems of devices, with microscopic parts, such as: Mechanical Parts Electrical

More information

Radiation Tolerant Isolation Technology

Radiation Tolerant Isolation Technology Radiation Tolerant Isolation Technology Background The following contains a brief description of isolation technologies used for radiation hardened integrated circuits. The technologies mentioned are junction

More information

6.777J/2.732J Design and Fabrication of Microelectromechanical Devices Spring Term Solution to Problem Set 2 (16 pts)

6.777J/2.732J Design and Fabrication of Microelectromechanical Devices Spring Term Solution to Problem Set 2 (16 pts) 6.777J/2.732J Design and Fabrication of Microelectromechanical Devices Spring Term 2007 By Brian Taff (Adapted from work by Feras Eid) Solution to Problem Set 2 (16 pts) Issued: Lecture 4 Due: Lecture

More information

Visualization and Control of Particulate Contamination Phenomena in a Plasma Enhanced CVD Reactor

Visualization and Control of Particulate Contamination Phenomena in a Plasma Enhanced CVD Reactor Visualization and Control of Particulate Contamination Phenomena in a Plasma Enhanced CVD Reactor Manabu Shimada, 1 Kikuo Okuyama, 1 Yutaka Hayashi, 1 Heru Setyawan, 2 and Nobuki Kashihara 2 1 Department

More information

Surface Micromachining

Surface Micromachining Surface Micromachining Outline Introduction Material often used in surface micromachining Material selection criteria in surface micromachining Case study: Fabrication of electrostatic motor Major issues

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time Etching Dry etch (anisotropic) SiO

More information

Microfabrication of Heterogeneous, Optimized Compliant Mechanisms SUNFEST 2001 Luo Chen Advisor: Professor G.K. Ananthasuresh

Microfabrication of Heterogeneous, Optimized Compliant Mechanisms SUNFEST 2001 Luo Chen Advisor: Professor G.K. Ananthasuresh Microfabrication of Heterogeneous, Optimized Compliant Mechanisms SUNFEST 2001 Luo Chen Advisor: Professor G.K. Ananthasuresh Fig. 1. Single-material Heatuator with selective doping on one arm (G.K. Ananthasuresh)

More information

Fabrication Techniques for Thin-Film Silicon Layer Transfer

Fabrication Techniques for Thin-Film Silicon Layer Transfer Fabrication Techniques for Thin-Film Silicon Layer Transfer S. L. Holl a, C. A. Colinge b, S. Song b, R. Varasala b, K. Hobart c, F. Kub c a Department of Mechanical Engineering, b Department of Electrical

More information

Lecture 6. Through-Wafer Interconnect. Agenda: Through-wafer Interconnect Polymer MEMS. Through-Wafer Interconnect -1. Through-Wafer Interconnect -2

Lecture 6. Through-Wafer Interconnect. Agenda: Through-wafer Interconnect Polymer MEMS. Through-Wafer Interconnect -1. Through-Wafer Interconnect -2 Agenda: EEL6935 Advanced MEMS (Spring 2005) Instructor: Dr. Huikai Xie Lecture 6 Through-wafer Interconnect EEL6935 Advanced MEMS 2005 H. Xie 1/21/2005 1 Motivations: Wafer-level packaging CMOS 3D Integration

More information

Implant Metrology for Bonded SOI Wafers Using a Surface Photo-Voltage Technique

Implant Metrology for Bonded SOI Wafers Using a Surface Photo-Voltage Technique Implant Metrology for Bonded SOI Wafers Using a Surface Photo-Voltage Technique Adam Bertuch a, Wesley Smith a, Ken Steeples a, Robert Standley b, Anca Stefanescu b, and Ron Johnson c a QC Solutions Inc.,

More information

Silicon Germanium Epitaxy: A New Material For MEMS

Silicon Germanium Epitaxy: A New Material For MEMS Mat. Res. Soc. Symp. Vol. 657 2001 Materials Research Society Silicon Germanium Epitaxy: A New Material For MEMS J.T. Borenstein*, N.D. Gerrish*, R. White*, M.T. Currie** And E.A. Fitzgerald** * Charles

More information

Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very

Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very high voltages (10-600 KeV) Use analyzer to selection charge/mass

More information

Oxide Growth. 1. Introduction

Oxide Growth. 1. Introduction Oxide Growth 1. Introduction Development of high-quality silicon dioxide (SiO2) has helped to establish the dominance of silicon in the production of commercial integrated circuits. Among all the various

More information

The Surface/Bulk Micromachining (SBM) Process: A New Method for Fabricating Released MEMS in Single Crystal Silicon

The Surface/Bulk Micromachining (SBM) Process: A New Method for Fabricating Released MEMS in Single Crystal Silicon JOURNAL OF MICROELECTROMECHANICAL SYSTEMS, VOL. 8, NO. 4, DECEMBER 1999 409 The Surface/Bulk Micromachining (SBM) Process: A New Method for Fabricating Released MEMS in Single Crystal Silicon Sangwoo Lee,

More information

Schottky Tunnel Contacts for Efficient Coupling of Photovoltaics and Catalysts

Schottky Tunnel Contacts for Efficient Coupling of Photovoltaics and Catalysts Schottky Tunnel Contacts for Efficient Coupling of Photovoltaics and Catalysts Christopher E. D. Chidsey Department of Chemistry Stanford University Collaborators: Paul C. McIntyre, Y.W. Chen, J.D. Prange,

More information

Poly-SiGe MEMS actuators for adaptive optics

Poly-SiGe MEMS actuators for adaptive optics Poly-SiGe MEMS actuators for adaptive optics Blake C.-Y. Lin a,b, Tsu-Jae King a, and Richard S. Muller a,b a Department of Electrical Engineering and Computer Sciences, b Berkeley Sensor and Actuator

More information

Lecture 22: Integrated circuit fabrication

Lecture 22: Integrated circuit fabrication Lecture 22: Integrated circuit fabrication Contents 1 Introduction 1 2 Layering 4 3 Patterning 7 4 Doping 8 4.1 Thermal diffusion......................... 10 4.2 Ion implantation.........................

More information

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon Chapter 5 Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon 5.1 Introduction In this chapter, we discuss a method of metallic bonding between two deposited silver layers. A diffusion

More information

Materials for MEMS. Dr. Yael Hanein. 11 March 2004 Materials Applications Yael Hanein

Materials for MEMS. Dr. Yael Hanein. 11 March 2004 Materials Applications Yael Hanein Materials for MEMS Dr. Yael Hanein Materials for MEMS MEMS (introduction) Materials used in MEMS Material properties Standard MEMS processes MEMS The world s smallest guitar is about 10 micrometers long

More information

Regents of the University of California

Regents of the University of California Surface-Micromachining Process Flow Photoresist Sacrificial Oxide Structural Polysilcon Deposit sacrificial PSG: Target = 2 m 1 hr. 40 min. LPCVD @450 o C Densify the PSG Anneal @950 o C for 30 min. Lithography

More information

EE 434 Lecture 9. IC Fabrication Technology

EE 434 Lecture 9. IC Fabrication Technology EE 434 Lecture 9 IC Fabrication Technology Quiz 7 The layout of a film resistor with electrodes A and B is shown. If the sheet resistance of the film is 40 /, determine the resistance between nodes A and

More information

Fabrication and testing of a MEMS-based optical filter combined with a HgCdTe detector

Fabrication and testing of a MEMS-based optical filter combined with a HgCdTe detector Fabrication and testing of a MEMS-based optical filter combined with a HgCdTe detector Dmitry A. Kozak* 1,2, Bautista Fernandez 1, Michael L. Morley 2, Silviu Velicu 2, Joel Kubby 1 1 University of California,

More information

Excimer Laser Annealing of Hydrogen Modulation Doped a-si Film

Excimer Laser Annealing of Hydrogen Modulation Doped a-si Film Materials Transactions, Vol. 48, No. 5 (27) pp. 975 to 979 #27 The Japan Institute of Metals Excimer Laser Annealing of Hydrogen Modulation Doped a-si Film Akira Heya 1, Naoto Matsuo 1, Tadashi Serikawa

More information

3D Finite Element Modeling and Analysis of Micromechanical Sensors

3D Finite Element Modeling and Analysis of Micromechanical Sensors Abstract 3D Finite Element Modeling and Analysis of Micromechanical Sensors Alexey I. Borovkov, Eugeny V. Pereyaslavets Computational Mechanics Laboratory, St. Petersburg State Technical University, Russia

More information

9-11 April 2008 Micro-electroforming Metallic Bipolar Electrodes for Mini-DMFC Stacks

9-11 April 2008 Micro-electroforming Metallic Bipolar Electrodes for Mini-DMFC Stacks 9-11 April 8 Micro-electroforming Metallic Bipolar Electrodes for Mini-DMFC Stacks R. F. Shyu 1, H. Yang, J.-H. Lee 1 Department of Mechanical Manufacturing Engineering, National Formosa University, Yunlin,

More information

Inductive Coupled Plasma (ICP) Textures as Alternative for Wet Chemical Etching in Solar Cell Fabrication

Inductive Coupled Plasma (ICP) Textures as Alternative for Wet Chemical Etching in Solar Cell Fabrication Inductive Coupled Plasma (ICP) Textures as Alternative for Wet Chemical Etching in Solar Cell Fabrication 1 Motivation 2 Experimental setup 3 ICP textures as alternative technique 3.1 Surface morphology

More information

Application of ultra-thin aluminum oxide etch mask made by atomic layer deposition technique

Application of ultra-thin aluminum oxide etch mask made by atomic layer deposition technique IOP Publishing Journal of Physics: Conference Series 61 (2007) 369 373 doi:10.1088/1742-6596/61/1/074 International Conference on Nanoscience and Technology (ICN&T 2006) Application of ultra-thin aluminum

More information

Characterisation of multiple carrier transport in indium nitride grown by molecular beam epitaxy

Characterisation of multiple carrier transport in indium nitride grown by molecular beam epitaxy phys. stat. sol. (c) 4, No. 7, 2423 2427 (2007) / DOI 10.1002/pssc.200674780 Characterisation of multiple carrier transport in indium nitride grown by molecular beam epitaxy Tamara B. Fehlberg 1, Gilberto

More information

doi: /JMEMS

doi: /JMEMS doi: 10.1109/JMEMS.2002.805211 JOURNAL OF MICROELECTROMECHANICAL SYSTEMS, VOL. 11, NO. 6, DECEMBER 2002 625 Fabrication of High-Density Electrical Feed-Throughs by Deep-Reactive-Ion Etching of Pyrex Glass

More information

Measurement of thickness of native silicon dioxide with a scanning electron microscope

Measurement of thickness of native silicon dioxide with a scanning electron microscope Measurement of thickness of native silicon dioxide with a scanning electron microscope V. P. Gavrilenko* a, Yu. A. Novikov b, A. V. Rakov b, P. A. Todua a a Center for Surface and Vacuum Research, 40 Novatorov

More information

Fabrication Technology

Fabrication Technology Fabrication Technology By B.G.Balagangadhar Department of Electronics and Communication Ghousia College of Engineering, Ramanagaram 1 OUTLINE Introduction Why Silicon The purity of Silicon Czochralski

More information

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller Webpage: http://www.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604

More information

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications Journal of ELECTRONIC MATERIALS, Vol. 31, No. 5, 2002 Special Issue Paper Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems

More information

2242 ieee transactions on ultrasonics, ferroelectrics, and frequency control, vol. 52, no. 12, december 2005

2242 ieee transactions on ultrasonics, ferroelectrics, and frequency control, vol. 52, no. 12, december 2005 2242 ieee transactions on ultrasonics, ferroelectrics, and frequency control, vol. 52, no. 12, december 2005 Capacitive Micromachined Ultrasonic Transducers: Fabrication Technology Arif Sanlı Ergun, Member,

More information

4/10/2012. Introduction to Microfabrication. Fabrication

4/10/2012. Introduction to Microfabrication. Fabrication Introduction to Microfabrication Fabrication 1 MEMS Fabrication Flow Basic Process Flow in Micromachining Nadim Maluf, An introduction to Microelectromechanical Systems Engineering 2 Thin Film Deposition

More information

Design and Fabrication of Nanostructures Silicon Photodiode

Design and Fabrication of Nanostructures Silicon Photodiode Design and Fabrication of Nanostructures Silicon Photodiode Alwan M. Alwan (Corresponding author) & Allaa A.Jabbar School of Applied Sciences, University of Technology, Baghdad, Iraq E-mail: alkrzsm@yahoo.com

More information

Deep Silicon Etching An Enabling Technology for Wireless Systems Segment By Carson Ogilvie and Joel Goodrich Commercial Product Solutions

Deep Silicon Etching An Enabling Technology for Wireless Systems Segment By Carson Ogilvie and Joel Goodrich Commercial Product Solutions Deep Silicon Etching An Enabling Technology for Wireless Systems Segment By Carson Ogilvie and Joel Goodrich Commercial Product Solutions Abstract The recent installation of a new etch tool, the Surface

More information

INDUSTRIALLY FEASIBLE >19% EFFICIENCY IBC CELLS FOR PILOT LINE PROCESSING

INDUSTRIALLY FEASIBLE >19% EFFICIENCY IBC CELLS FOR PILOT LINE PROCESSING INDUSTRIALLY FEASIBLE >19% EFFICIENCY IBC CELLS FOR PILOT LINE PROCESSING F. J. Castaño 1, D. Morecroft 1, M. Cascant 1, H. Yuste 1, M.W.P.E. Lamers 2, A.A. Mewe 2, I.G. Romijn 2, E.E. Bende 2, Y. Komatsu

More information

Effect of Anodizing Potential on the Surface Morphology and Corrosion Property of AZ31 Magnesium Alloy

Effect of Anodizing Potential on the Surface Morphology and Corrosion Property of AZ31 Magnesium Alloy Materials Transactions, Vol. 51, No. 6 (21) pp. 119 to 1113 #21 The Japan Institute of Metals Effect of Anodizing Potential on the Surface Morphology and Corrosion Property of AZ31 Magnesium Alloy S. A.

More information

Galvanic Porous Silicon for High Velocity Nanoenergetics

Galvanic Porous Silicon for High Velocity Nanoenergetics Supporting Information Galvanic Porous Silicon for High Velocity Nanoenergetics Collin R. Becker 1,2, Steven Apperson 3, Christopher J. Morris 2, Shubhra Gangopadhyay 3, Luke J. Currano 2, Wayne A. Churaman

More information

Silicon Wafer Processing PAKAGING AND TEST

Silicon Wafer Processing PAKAGING AND TEST Silicon Wafer Processing PAKAGING AND TEST Parametrical test using test structures regularly distributed in the wafer Wafer die test marking defective dies dies separation die fixing (not marked as defective)

More information

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation Micro-Electro-Mechanical Systems (MEMS) Fabrication Fabrication Considerations Stress-Strain, Thin-film Stress, Stiction Special Process Modules for MEMS Bonding, Cavity Sealing, Deep RIE, Spatial forming

More information

Cost of Integrated Circuits

Cost of Integrated Circuits Cost of IC Design 1 Cost of Integrated Circuits NRE (Non-Recurrent Engineering) costs fixed design time and effort, mask generation independent of sales volume / number of products one-time cost factor

More information

Ultra High Barrier Coatings by PECVD

Ultra High Barrier Coatings by PECVD Society of Vacuum Coaters 2014 Technical Conference Presentation Ultra High Barrier Coatings by PECVD John Madocks & Phong Ngo, General Plasma Inc., 546 E. 25 th Street, Tucson, Arizona, USA Abstract Silicon

More information

Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices

Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices Hitachi Review Vol. 65 (2016), No. 7 233 Featured Articles Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices Ion-beam-based Photomask Defect Repair

More information

Supporting Information

Supporting Information Supporting Information Fast-Response, Sensitivitive and Low-Powered Chemosensors by Fusing Nanostructured Porous Thin Film and IDEs-Microheater Chip Zhengfei Dai,, Lei Xu,#,, Guotao Duan *,, Tie Li *,,

More information

MEMS Fabrication I : Process Flows and Bulk Micromachining

MEMS Fabrication I : Process Flows and Bulk Micromachining MEMS Fabrication I : Process Flows and Bulk Micromachining Dr. Thara Srinivasan Lecture 2 Picture credit: Alien Technology Lecture Outline Reading Reader is in! (at South side Copy Central) Kovacs, Bulk

More information

IISW-2009 BSI technical challenges

IISW-2009 BSI technical challenges IISW-2009 BSI technical challenges Bergen. 25th June 2009 Outline BSI consumer vs BSI scientific BSI vs FSI Remaining challenges Charges collection BSI overlay challenges BSI laser annealing Thinning Process

More information

Single crystal silicon supported thin film micromirrors for optical applications

Single crystal silicon supported thin film micromirrors for optical applications Single crystal silicon supported thin film micromirrors for optical applications Zhimin J. Yao* Noel C. MacDonald Cornell University School of Electrical Engineering and Cornell Nanofabrication Facility

More information

Temperature Scales. Questions. Temperature Conversions 7/21/2010. EE580 Solar Cells Todd J. Kaiser. Thermally Activated Processes

Temperature Scales. Questions. Temperature Conversions 7/21/2010. EE580 Solar Cells Todd J. Kaiser. Thermally Activated Processes 7/1/010 EE80 Solar Cells Todd J. Kaiser Flow of Wafer in Fabrication Lecture 0 Microfabrication A combination of Applied Chemistry, Physics and ptics Thermal Processes Diffusion & xidation Photolithograpy

More information

Isolation Technology. Dr. Lynn Fuller

Isolation Technology. Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Isolation Technology Dr. Lynn Fuller Motorola Professor 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Fax (585) 475-5041

More information

Micro-Scale Engineering I Microelectromechanical Systems (MEMS) Y. C. Lee

Micro-Scale Engineering I Microelectromechanical Systems (MEMS) Y. C. Lee Micro-Scale Engineering I Microelectromechanical Systems (MEMS) Y. C. Lee Department of Mechanical Engineering University of Colorado Boulder, CO 80309-0427 leeyc@colorado.edu September 2, 2008 1 Three

More information

SOI sensors and epitaxial MEMS

SOI sensors and epitaxial MEMS J. Indian Inst. Sci., Nov. Dec. 2001, SOI 81, SENSORS 619 626. AND EPITAXIAL MEMS Indian Institute of Science. 619 SOI sensors and epitaxial MEMS Department of Electrical and Electronic Engineering, Toyohashi

More information

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) contents UNIT I INTRODUCTION: Introduction to IC Technology MOS, PMOS, NMOS, CMOS & BiCMOS technologies. BASIC ELECTRICAL PROPERTIES : Basic Electrical

More information

Processing guidelines. Negative Tone Photoresist Series ma-n 2400

Processing guidelines. Negative Tone Photoresist Series ma-n 2400 Characteristics Processing guidelines Negative Tone Photoresist Series ma-n 2400 ma-n 2400 is a negative tone photoresist series designed for the use in micro- and nanoelectronics. The resists are available

More information

NanoSystemsEngineering: NanoNose Final Status, March 2011

NanoSystemsEngineering: NanoNose Final Status, March 2011 1 NanoSystemsEngineering: NanoNose Final Status, March 2011 The Nanonose project is based on four research projects (VCSELs, 3D nanolithography, coatings and system integration). Below, the major achievements

More information

Wafer-to-Wafer Bonding and Packaging

Wafer-to-Wafer Bonding and Packaging Wafer-to-Wafer Bonding and Packaging Dr. Thara Srinivasan Lecture 25 Picture credit: Radant MEMS Reading Lecture Outline Senturia, S., Chapter 17, Packaging. Schmidt, M. A. Wafer-to-Wafer Bonding for Microstructure

More information

CMOS Manufacturing Process

CMOS Manufacturing Process CMOS Manufacturing Process CMOS Process A Modern CMOS Process gate-oxide TiSi 2 AlCu Tungsten SiO 2 n+ p-well p-epi poly n-well p+ SiO 2 p+ Dual-Well Trench-Isolated CMOS Process Circuit Under Design V

More information

micro resist technology

micro resist technology Characteristics Processing guidelines Negative Tone Photoresist Series ma-n 2400 ma-n 2400 is a negative tone photoresist series designed for the use in micro- and nanoelectronics. The resists are available

More information

Midterm evaluations. Nov. 9, J/3.155J 1

Midterm evaluations. Nov. 9, J/3.155J 1 Midterm evaluations What learning activities were found most helpful Example problems, case studies (5); graphs (good for extracting useful info) (4); Good interaction (2); Good lecture notes, slides (2);

More information

COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING

COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING Lynne Michaelson 1, Anh Viet Nguyen 2, Krystal Munoz 1, Jonathan C. Wang

More information