Thin Copper Seed Layers in Interconnect Metallization Using the Electroless Plating Process

Size: px
Start display at page:

Download "Thin Copper Seed Layers in Interconnect Metallization Using the Electroless Plating Process"

Transcription

1 Japanese Journal of Applied Physics Vol. 43, No. 8A, 004, pp #004 The Japan Society of Applied Physics Thin Copper Seed Layers in Interconnect Metallization Using the Electroless Plating Process Teen-Hang MEEN, Wen Ray CHEN, Chien-Jung HUANG and Chih-Jen CHIU Department of Electrical Engineering, Southern Taiwan University of Technology, 1 Nan-Tai St., Yung-Kang City, Tainan, Taiwan 70101, R.O.C. (Received October 14, 003; accepted April 1, 004; published August 10, 004) In this paper, we present a process for growing a Cu seed layer on a Ta/SiO /Si substrate using an electroless plating (ELP) process at an extremely low temperature (30 C). In this process, the activation treatment of the Ta/SiO /Si substrate was carried out by immersion in a PdCl /HCl solution prior to electroless Cu deposition. The optimum activation time for the substrate was clearly observed to be 7 min. The Cu seed layer was uniformly and smoothly deposited using a CuSO 4 concentration of 30 mm for 80 s with an average roughness of 14 nm under a thin film of 50 nm thickness. The grain size of the Cu seed layer was 34 nm. After annealing in hydrogen ambience at C, the average roughness of the Cu seed layer was reduced to 4 nm. A proposed mechanism for the ELP of Cu seed layers on Ta/SiO /Si substrates is also presented. [DOI: /JJAP ] KEYWORDS: copper, silicon, electroless plating, metallization, grain size 1. Introduction Scaling of ULSI circuits to critical dimensions less than 0.5 mm has focused considerable attention on the performance of metallization. Aluminum, which has been widely used for interconnection, has serious problems related to electromigration (EM), corrosion, and resistivity. Copper (Cu) improves electromigration resistance, a major concern in IC s long-term reliability, by as much as 50 times. Furthermore, copper has a lower resistivity, lower interconnection resistance-capacitance (RC) delay and higher resistance to electromigration and stress migration (SM) than aluminum. 1) The lower electrical resistance of copper leads to improved power consumption and device performance throughout the chip. Therefore, copper can be an alternative for interconnect metallization. Before bulk copper deposition on a dielectric layer, it is always necessary to deposit a thin seed layer. This provides nucleation sites for the bulk copper grain and film formations. With this conducting surface, copper atoms will stick very well to the wafer when the copper atoms migrate to the surface during electrochemical plating (ECP). If this seed layer is not present, there could be either deposition with poor uniformity or no deposition at all. Thus, an electroless plating (ELP) copper seed layer having a good bottom step coverage was used in this application. A copper seed layer can be deposited by physical vapor deposition (PVD),,3) chemical vapor deposition (CVD), 4 6) or electroless plating (ELP). 7 10) The resistivity of the copper seed layer by PVD is found near the bulk value (1:9 mcm). A major drawback of this deposition method is the poor step coverage. The CVD copper seed layer is not as dense as a high-temperature-deposited film and has higher resistivity (>:0 mcm) ) This is due to the presence of high concentrations of carbon and hydrogen in the layer, which is from the growth of a gas source. One of the advantages of ELP is that it is a low-temperature process with a low tool cost, superior film uniformity, and good via/ trench filling capability. 14) An ELP thin seed layer typically improves the PVD step coverage near the via, and thus, increases the fill of a dual-damascence feature. 15) ELP deposition is normally performed at a low temperature to 5100 achieve a smooth layer surface, which is important for bulk copper deposition by electrochemical plating (ECP). A rough seed layer surface in the trench and via sidewall can cause a void during ECP bulk copper deposition. It is therefore very desirable to develop a method that can reduce the surface roughness of the seed layer on the dielectric layer. In this article, an improved technology for reducing the surface roughness of the seed layer is presented.. Experimental Procedure The substrates used in all experiments were 4 inch n-type (100) Si wafers on which a 900 A thick thermal silicon dioxide (SiO ) film was grown. Tantalum (Ta) was then deposited by electron beam evaporation (EBE) on silicon dioxide as a diffusion barrier layer and adhesion layer. These Ta/SiO /Si substrates were degreased and cleaned in acetone for 10 min by ultrasonic vibration and rinsed in deionized (DI) water. In the activation process, Ta was first wet etched with a 48% hydrofluoric acid (HF) solution for 1 minute to remove native oxides. This was then followed by the immersion of the etched substrates in an activation solution (PdCl : 0.05 g/100 ml and HCl: 0.5 ml/100 ml) for 1 9 min at room temperature. After each of the activation steps, an identical procedure using a DI water rinse was carried out to remove the residual chemical solution. Electroless Cu was then deposited on the activated Ta/ SiO /Si substrates at a temperature of 30 C. The compositions of the electroless bath are listed in Table I. The ph of the electroless bath is more than 13. The samples were finally rinsed in deionized water and dried with nitrogen gas. The surface element was analyzed by energy-dispersive X-ray diffraction (EDX, model S4100, Hitachi, Japan). The Table I. Solution compositions of electroless plating for Cu deposition. Component Concentration/pH CuSO 4 5H O mm TEA 6 ml NaOH ph > 13 HCHO 9: 10 M DI-Water 1000 ml

2 Jpn. J. Appl. Phys., Vol. 43, No. 8A (004) T.-H. MEEN et al surface roughness of the Cu seed layer was measured by atomic force microscopy (AFM, model METRIS-3345, Burleigh, USA). The sheet resistance of the electroless plating Cu seed layer was measured by a four-point probe method (model 80, 4-Dimension, USA). The grain size of the copper seed layer was estimated from its X-ray diffraction (XRD, model ATX-E, Rigaku, Japan) pattern. Evaluation was also carried out by scanning electron microscopy (SEM, model S4100, Hitachi, Japan) to study the surface of the Cu seed layer. 3. Results and Discussion The surface element of the PdCl activation process was observed by EDX, as shown in Fig. 1. For Ta/SiO /Si substrates, the palladium (Pd) content was increased with activation times up to 7 min that decreased after the maximum palladium content was reached. According to our experimental data, it is obvious that the Pd contents on Pd at % Activation Time (min) Fig. 1. The surface element of the PdCl activation process was observed by EDX at the activation room temperature. the substrate measured by energy-dispersive X-ray diffraction (EDX) are 1.1,.15,.40,.88 and.81 at% for 1, 3, 5, 7 and 9 min, respectively. The activated surface morphologies are obtained by SEM, as shown in Fig.. According to these SEM images, one can note that the amount of Pd present on the substrate increases with activation time up to the 7 min mark. The phenomena and results are consistent with those in Fig. 1. Combining the information from Fig. 1 and Fig., the optimum activation time for the substrate was clearly observed to be 7 min because a Pd-rich surface substrate is also expected to improve the adhesion of the plated copper (Cu) film. 16) In order to understand the activation process, an equation is employed to explain a spontaneous reaction. The spontaneous reaction for the PdCl activation system is as follows Ta! Ta 5þ þ 5e EðvÞ ¼1:1 Pd þ þ e! Pd EðvÞ ¼0:915 Ta þ 5Pd þ! Ta 5þ þ 5Pd EðvÞ ¼6:815 The kinetics of the spontaneous reaction is normally determined by a Gibbs-free energy equation (1) 17) G ¼ nfeðvþ Where F is Farady s constant (or the charge for a mole of electrons), EðvÞ is the reduction potential and n is the number of electrons involved in a redox reaction. G is used to predict spontaneity under any condition, such as G < 0 representing spontaneity in the forward direction, G > 0 representing spontaneity in the reverse direction and G ¼ 0 representing equilibrium in the reaction. As G < 0 in this study, spontaneity was in the forward direction. Figure 3 shows the relationship of Cu film thickness and deposition time at a deposition temperature of 30 C. Deposition was propagated along the surfaces of the substrates. The surfaces were thoroughly covered by Cu films, which gradually increased in thickness with increasing ð1þ (a) (b) (c) (d) (e) (f) Fig.. SEM micrographs of palladium (Pd) activation Ta barrier layer with various activation times (a) 0 min, (b) 1 min, (c) 3 min, (d) 5 min, (e) 7 min and (f) 9 min at room temperature.

3 510 Jpn. J. Appl. Phys., Vol. 43, No. 8A (004) T.-H. MEEN et al. Cu Film Thickness (nm) mM CuSO 4 40mM CuSO 4 50mM CuSO 4 0 Average Roughness (nm) mM CuSO 4 40mM CuSO 4 50mM CuSO 4 Fig. 3. Cu film thickness versus deposition time with CuSO 4 concentration as a parameter for a deposition time of 80 seconds at a deposition temperature of 30 C. deposition time. The island phenomenon appears with a copper film deposited for less than 80 s. This is the reason why the copper thickness does not show up in Fig. 3. The thickness of the Cu film is increased with increasing CuSO 4 concentration. This is due to the fact that the higher reactant concentration causes a higher frequency of collision between the two reactants. This indicates that the higher the reactant concentration, the faster the nucleation. Figure 4 shows a cross-sectional scanning electron microscopy (SEM) micrograph of a sample deposited using a CuSO 4 concentration of 30 mm at a deposition temperature of 30 C for 80 s. The Cu seed layer thickness observed by SEM is consistent with that measured by the -step method. The Cu seed layer deposited by the electroless method is uniform and smooth on the Ta/SiO /Si substrate. In Fig. 4, the thickness of the Cu seed layer is clearly shown to be about 50 nm, which is comparable to that determined by on advanced copper interconnection technique. Figure 5 shows that the variation in the average roughness of the electroless Cu seed film is dependent on different deposition time at 30 C. The Cu seed layer exhibits the lowest surface roughness, 14 nm, in 30 mm CuSO 4, and this surface Cu Ta/SiO Si Substrate Fig. 4. SEM cross-sectional view of the Cu seed layer on Ta/SiO /Si with a film thickness of 50 nm at a deposition temperature of 30 C for a deposition time of 80 s. 0 Fig. 5. Variation in the roughness of an electroless Cu seed layer with different deposition times at a deposition temperature of 30 C. roughness increases with increasing deposition time. The grain size of the Cu seed layer increases with increasing deposition time. Also, the larger the grain size, the greater the surface roughness. Furthermore, the surface roughness of Cu increases with increasing CuSO 4 concentration. The frequency of collision between the two reactants increases with increasing reactant concentration, i.e., the higher the concentration of the reactant, the larger the nucleation velocity. This higher frequency can form more void nucleation and larger grain size. However, the roughness of the Cu seed layer is influenced by deposition time and growth concentration. The surface morphology of the Cu seed layer deposited for 80 s is revealed by SEM, as shown in Fig. 6. The surface of the thin film deposited in 30 mm CuSO 4 is very smooth and has an average roughness of 14 nm, as shown in Fig. 6(a). Figures 6(b) and 6(c) show that the average surface roughnesses in 40 and 50 mm CuSO 4 are 8 and 90 nm, respectively. However, the surface roughness obviously increases with increasing CuSO 4 concentration. According to the SEM micrography, one can note that the surface roughness of the substrate is consistent with that shown in Fig. 5. Figure 7 shows that the variation in the grain size of the Cu seed layer for electroless plating depends on deposition in 30 mm CuSO 4 at a deposition temperature of 30 C. The grain size of the Cu seed layer is estimated by the Scherrer equation. 18) The grain size of the Cu seed layer increases with increasing deposition time due to the agglomeration of Cu during the reaction. The smallest grain size value of 34 nm is found at a deposition time of 80 s. Furthermore, the resistivity of the Cu seed layer decreases with decreasing deposition time. At a deposition time of 80 s and with a Cu seed layer thickness of about 50 nm, a high electrical resistivity of 4.0 mcm was obtained. This was due to a large amount of defects, which result in electron scattering in the microcavity surface of this thin layer. 19) Although this deposition time decreased the electrical resistivity of the Cu seed layer, the average grain size of the Cu seed layer was

4 Jpn. J. Appl. Phys., Vol. 43, No. 8A (004) T.-H. MEEN et al (a) (b) (c) Fig. 6. SEM in different CuSO 4 concentrations: (a) 30 mm (b) 40 mm and (c) 50 mm for a deposition time of 80 seconds at a deposition temperature of 30 C. Resisivity ( µ Ω. cm) Average Grain Size (nm) Average Roughness (nm) Annealing in Hydrogen 150 C 50 C 350 C Annealing Time (min) Fig. 7. Dependence of resistivity of the Cu seed layer and average grain size on the deposition time at a deposition temperature of 30 C for 30 mm CuSO 4. Fig. 8. Dependence of average roughness on annealing time at different annealing temperatures for a deposition time of 80 s in 30 mm CuSO 4 at 30 C. The annealing processes were performed in hydrogen ambience. increased. Furthermore, this interconnection conduction depends on the resistivity of the Cu bulk layer. Thus, the Cu seed layer, with a smaller grain size on the surface can result in a better adhesive force and will favor the growth of the Cu bulk layer. The larger the grain size of the copper, the lower the boundary between the grains. The lower the grain boundary, the higher the conductivity. Thus, the resistivity was further reduced to 1.86 mcm with a greater thickness of 40 nm at more than 10 s. In this study, the value, 1.86 mcm, approaches the value of 1.70 mcm for the majority of Cu films. After the deposition of the Cu seed layer, performed at a deposition time of 80 s in 30 mm CuSO 4 annealing was performed in hydrogen ambience with different annealing times and temperatures, as shown in Fig. 8. The average roughness of the Cu seed layer decreased with increasing annealing time increased until 60 min had elapsed. This is because hydrogen has a high thermal diffusivity and easily combines with impurities, enabling it to restructure the Cu seed layer during annealing. 0) Also, the average roughness of the Cu seed layer decreased with increasing annealing temperature. After annealing for 60 min at temperatures between 50 C and 350 C, it was observed that the average roughness of the Cu seed layer was almost unchanged at around 4 nm. This may be due to the fact that the grain growth has reached saturation; hence, the average roughness remains constant. 1) Intensity (arb. units) Cu (111) Cu (00) θ (deg) 350 C 50 C 150 C As-Deposition Fig. 9. XRD pattern for the Cu seed layer/ta/sio /Si structures; (a) asdeposited and after annealing at (b) 150 C, (c) 50 C and (d) 350 C for 60 min in H ambience. Figure 9 shows the typical X-ray diffraction (XRD) patterns of an annealed Cu seed layer/ta/sio /Si sample after thermal annealing for 60 min in hydrogen ambience.

5 5104 Jpn. J. Appl. Phys., Vol. 43, No. 8A (004) T.-H. MEEN et al. The main peaks around 43 and 51 are due to Cu (111) and Cu (00), respectively. The XRD peak intensity of the Cu (111) increased with increasing annealing temperatures up to 50 C. In annealing temperatures between 50 C and 350 C, the Cu seed layer exhibits a stronger (111) texture than the deposited one. The Cu (111) texture favors the growth of a Cu bulk layer. In addition, at annealing temperatures between 50 C and 350 C, it was observed that the Cu (111) intensity of the Cu seed layer was almost unchanged. This result implies an unchanged phenomenon for grain growth and is consistent with the finding in Fig. 8. Thus, to avoid thermal stress, an annealing temperature of 50 C was introduced to improve the quality and achieve the highest uniformity of the seed layer. The rersult reveals that the Cu seed layer produced by the electroless process is of a sufficiently high quality to be used as the adhesion layer for the fabrication of ULSI interconnection. 4. Conclusion We achieved the low-temperature growth (30 C) of an ELP Cu seed layer on a Ta/SiO /Si substrate. The deposition of Pd nuclei on the Ta/SiO /Si substrate during activation was confirmed as a spontaneous reaction. The optimum activation time for the substrate was clearly observed to be 7 min. In 50-nm-thick ELP Cu seed layers, the optimal surface roughness and grain size are 14 nm and 34 nm, respectively. Sequentially, at an annealing temperature of 50 C for an annealing time of 60 min, it was observed that the surface roughness of the Cu seed layer was reduced to about 4 nm. At annealing temperatures between 50 C and 350 C, the Cu seed layer exhibits a strong (111) texture, which favors the growth of the Cu bulk film. Various analytical techniques, such as XRD, AFM, EDX and SEM, verify the reliability and high quality of the Cu seed layer obtained by ELP. Acknowledgments This work was supported in part by the National Science Council of the Republic of China under contract number: NSC 9-16-E ) J. R. Lloyd and J. J. Ciement: Thin Solid Films 6 (1995) 135. ) T. Nguyen, L. J. Charneski and D. R. Evans: J. Electrochem. Soc. 144 (1997) ) C. Wenzel, N. Urbansky, W. Klimes, P. Siemroth and T. Schulke: Microelectron. Eng. 33 (1997) 31. 4) S. Riedel, J. Rober and T. Gener: Microelectron. Eng. 33 (1997) ) S. K. Kwak, K. S. Chung, I. Park and H. Lim: Curre. Appl. Phys. (00) 05. 6) K.-K. Choi and S.-W. Rhee: Thin Solid Films 397 (001) 70. 7) Yi-Mao Lin and Shi-Chern Yen: Appl. Surf. Sci. 178 (001) ) S. W. Hong, C.-H. Shin and J.-W. Park: J. Electrochem. Soc. 149 (00) G 85. 9) J. H. Lin, Y. Y. Tsai, S. Y. Chiu, T. L. Lee, C. M. Tsai, P. H. Chen, C. C. Lin, M. S. Feng, C. S. Kou and H. C. Shih: Thin Solid Films 377 (000) ) C. J. Huang and C. J. Chiu: submitted to J. Electrochem. Soc. Aug ) M. B. Naik, W. N. Gill, R. H. Wentorf and R. R. Reeves: Thin Solid Films 6 (1995) 60. 1) J. Torres: Appl. Surf. Sci. 91 (1995) ) H. J. Jin, M. Shiratani, T. Kawasaki, T. Fukuzawa, T. Kinoshita and Y. Watanabe: J. Vac. Sci. Technol. A 17 (1999) ) V. M. Dubin, Y. Shacham-Diamandad, B. Zhao, P. K. Vasudev and C. H. Ting: J. Electrochem. Soc. 144 (1997) ) P. C. Andricacos, C. Uzoh, J. O. Dukovic, J. Horkans and H. Deligianni: IBM J. Res. Develop. 4 (1998) ) M. H. Kiang, M. A. Lieberman, N. W. Cheung and X. Y. Qian: Appl. Phys. Lett. 60 (199) ) J. Philip Bromberg: Physical Chemistry, Electrochemical Cells (Allyn and Bacon, Inc., Boston, 1980) p ) B. D. Cullity: Elements of X-ray Diffraction, Diffraction I: Directions of Diffracted Beams (Addison-Wesley Publishing Company, Inc., California, 1959) p ) H. H. Hsu, C. W. Teng and J. W. Yeh: J. Electrochem. Soc. 149 (00) C ) K. K. Choi and S. W. Rhee: J. Electrochem. Soc. 148 (001) C ) Hong Xiao: Introduction to Semiconductor Manufacturing technology, Thermal Processes (Prentice Hall, New York, 001) p. 11.

Investigation of overpotential and seed thickness on damascene copper electroplating

Investigation of overpotential and seed thickness on damascene copper electroplating Surface & Coatings Technology 200 (2006) 3112 3116 www.elsevier.com/locate/surfcoat Investigation of overpotential and on damascene copper electroplating K.W. Chen a, Y.L. Wang b, *, L. Chang a, F.Y. Li

More information

Effect of barrier layers on the texture and microstructure of Copper films

Effect of barrier layers on the texture and microstructure of Copper films Mat. Res. Soc. Symp. Proc. Vol. 766 2003 Materials Research Society E2.2.1 Effect of barrier layers on the texture and microstructure of Copper films Tejodher Muppidi and David P Field School of MME, Washington

More information

Investigation of Copper Agglomeration at Elevated Temperatures

Investigation of Copper Agglomeration at Elevated Temperatures G826 0013-4651/2003/150 12 /G826/5/$7.00 The Electrochemical Society, Inc. Investigation of Copper Agglomeration at Elevated Temperatures Ching-Yu Yang and J. S. Chen*,z Department of Materials Science

More information

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications Journal of ELECTRONIC MATERIALS, Vol. 31, No. 5, 2002 Special Issue Paper Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems

More information

Anomaly of Film Porosity Dependence on Deposition Rate

Anomaly of Film Porosity Dependence on Deposition Rate Anomaly of Film Porosity Dependence on Deposition Rate Stephen P. Stagon and Hanchen Huang* Department of Mechanical Engineering, University of Connecticut, Storrs, CT 06269 J. Kevin Baldwin and Amit Misra

More information

ALD and CVD of Copper-Based Metallization for. Microelectronic Fabrication. Department of Chemistry and Chemical Biology

ALD and CVD of Copper-Based Metallization for. Microelectronic Fabrication. Department of Chemistry and Chemical Biology ALD and CVD of Copper-Based Metallization for Microelectronic Fabrication Yeung Au, Youbo Lin, Hoon Kim, Zhengwen Li, and Roy G. Gordon Department of Chemistry and Chemical Biology Harvard University Introduction

More information

COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING

COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING Lynne Michaelson 1, Anh Viet Nguyen 2, Krystal Munoz 1, Jonathan C. Wang

More information

2015 IEEE. REPRINTED, WITH PERMISSION, FROM Next Generation Metallization Technique for IC Package Application

2015 IEEE. REPRINTED, WITH PERMISSION, FROM Next Generation Metallization Technique for IC Package Application 2015 IEEE. REPRINTED, WITH PERMISSION, FROM Next Generation Metallization Technique for IC Package pplication Yoshiyuki Hakiri, Katsuhiro Yoshida, Shenghua Li, Makoto Kondoh, Shinjiro Hayashi The Dow Chemical

More information

Development of different copper seed layers with respect to the copper electroplating process

Development of different copper seed layers with respect to the copper electroplating process Microelectronic Engineering 50 (2000) 433 440 www.elsevier.nl/ locate/ mee Development of different copper seed layers with respect to the copper electroplating process a, a a b b b K. Weiss *, S. Riedel,

More information

Etching Mask Properties of Diamond-Like Carbon Films

Etching Mask Properties of Diamond-Like Carbon Films N. New Nawachi Diamond et al. and Frontier Carbon Technology 13 Vol. 15, No. 1 2005 MYU Tokyo NDFCT 470 Etching Mask Properties of Diamond-Like Carbon Films Norio Nawachi *, Akira Yamamoto, Takahiro Tsutsumoto

More information

Metallization. Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance

Metallization. Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance Metallization Interconnects Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance RC time delay Inter-Metal Dielectric -Prefer low dielectric constant to reduce capacitance

More information

Effect of nanoimprinted surface relief on Si and Ge nucleation and ordering

Effect of nanoimprinted surface relief on Si and Ge nucleation and ordering Microelectronics Journal 37 (2006) 1481 1485 www.elsevier.com/locate/mejo Effect of nanoimprinted surface relief on Si and Ge nucleation and ordering T.I. Kamins a,, A.A. Yasseri a,1, S. Sharma a,2, R.F.W.

More information

Available online at ScienceDirect. Procedia Engineering 79 (2014 )

Available online at  ScienceDirect. Procedia Engineering 79 (2014 ) Available online at www.sciencedirect.com ScienceDirect Procedia Engineering 79 (2014 ) 212 217 37th National Conference on Theoretical and Applied Mechanics (37th NCTAM 2013) & The 1st International Conference

More information

Electroless deposition, post annealing and characterization of nickel films on silicon

Electroless deposition, post annealing and characterization of nickel films on silicon Bull. Mater. Sci., Vol. 31, No. 5, October 2008, pp. 729 736. Indian Academy of Sciences. Electroless deposition, post annealing and characterization of nickel films on silicon SUBIR SABHARWAL,, SIDDHARTH

More information

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon Chapter 5 Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon 5.1 Introduction In this chapter, we discuss a method of metallic bonding between two deposited silver layers. A diffusion

More information

PARAMETER EFFECTS FOR THE GROWTH OF THIN POROUS ANODIC ALUMINUM OXIDES

PARAMETER EFFECTS FOR THE GROWTH OF THIN POROUS ANODIC ALUMINUM OXIDES 10.1149/1.2794473, The Electrochemical Society PARAMETER EFFECTS FOR THE GROWTH OF THIN POROUS ANODIC ALUMINUM OXIDES S. Yim a, C. Bonhôte b, J. Lille b, and T. Wu b a Dept. of Chem. and Mat. Engr., San

More information

Properties of TiN thin films grown on SiO 2 by reactive HiPIMS

Properties of TiN thin films grown on SiO 2 by reactive HiPIMS Properties of TiN thin films grown on SiO 2 by reactive HiPIMS Friðrik Magnus 1, Árni S. Ingason 1, Ólafur B. Sveinsson 1, S. Shayestehaminzadeh 1, Sveinn Ólafsson 1 and Jón Tómas Guðmundsson 1,2 1 Science

More information

A New Liquid Precursor for Pure Ruthenium Depositions. J. Gatineau, C. Dussarrat

A New Liquid Precursor for Pure Ruthenium Depositions. J. Gatineau, C. Dussarrat 1.1149/1.2727414, The Electrochemical Society A New Liquid Precursor for Pure Ruthenium Depositions J. Gatineau, C. Dussarrat Air Liquide Laboratories, Wadai 28, Tsukuba city, Ibaraki Prefecture, 3-4247,

More information

Microstructure and Vacuum Leak Characteristics of SiC coating Layer by Three Different Deposition Methods

Microstructure and Vacuum Leak Characteristics of SiC coating Layer by Three Different Deposition Methods Microstructure and Vacuum Leak Characteristics of SiC coating Layer by Three Different Deposition Methods Y. Kim Professor, Department of Materials Science and Engineering, College of Engineering, Kyonggi

More information

Metallization. Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance

Metallization. Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance Metallization Interconnects Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance RC time delay Inter-Metal Dielectric -Prefer low dielectric constant to reduce capacitance

More information

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Metallization deposition and etching. Material mainly taken from Campbell, UCCS Metallization deposition and etching Material mainly taken from Campbell, UCCS Application Metallization is back-end processing Metals used are aluminum and copper Mainly involves deposition and etching,

More information

Thermal Evaporation. Theory

Thermal Evaporation. Theory Thermal Evaporation Theory 1. Introduction Procedures for depositing films are a very important set of processes since all of the layers above the surface of the wafer must be deposited. We can classify

More information

Microwave dielectric properties and microstructures of MgTa 2 O 6 ceramics with CuO addition

Microwave dielectric properties and microstructures of MgTa 2 O 6 ceramics with CuO addition Materials Chemistry and Physics 90 (2005) 373 377 Microwave dielectric properties and microstructures of MgTa 2 O 6 ceramics with CuO addition Cheng-Liang Huang a,, Kuo-Hau Chiang a, Chi-Yuen Huang b a

More information

Boron doped diamond deposited by microwave plasma-assisted CVD at low and high pressures

Boron doped diamond deposited by microwave plasma-assisted CVD at low and high pressures Available online at www.sciencedirect.com Diamond & Related Materials 17 (2008) 481 485 www.elsevier.com/locate/diamond Boron doped diamond deposited by microwave plasma-assisted CVD at low and high pressures

More information

Introduction. 1. Sputtering process, target materials and their applications

Introduction. 1. Sputtering process, target materials and their applications Sputtering is widely used in the production of electronic devices such as liquid crystal displays (LCDs), optical media, magnetic media and semiconductors. The Kobelco Research Institute, Inc. has been

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time Etching Dry etch (anisotropic) SiO

More information

EFFECT OF GROWTH TEMPERATURE ON THE CATALYST-FREE GROWTH OF LONG SILICON NANOWIRES USING RADIO FREQUENCY MAGNETRON SPUTTERING

EFFECT OF GROWTH TEMPERATURE ON THE CATALYST-FREE GROWTH OF LONG SILICON NANOWIRES USING RADIO FREQUENCY MAGNETRON SPUTTERING International Journal of Nanoscience Vol. 10, Nos. 1 & 2 (2011) 13 17 #.c World Scienti c Publishing Company DOI: 10.1142/S0219581X11007594 EFFECT OF GROWTH TEMPERATURE ON THE CATALYST-FREE GROWTH OF LONG

More information

ENS 06 Paris, France, December 2006

ENS 06 Paris, France, December 2006 CARBON NANOTUBE ARRAY VIAS FOR INTERCONNECT APPLICATIONS Jyh-Hua ng 1, Ching-Chieh Chiu 2, Fuang-Yuan Huang 2 1 National Nano Device Laboratories, No.26, Prosperity Road I, Science-Based Industrial Park,

More information

Investigation of Carbon Nanotube Growth on Multimetal Layers for Advanced Interconnect Applications in Microelectronic Devices

Investigation of Carbon Nanotube Growth on Multimetal Layers for Advanced Interconnect Applications in Microelectronic Devices 1 2 3 4 5 6 7 8 9 Journal of The Electrochemical Society, 156 3 1-XXXX 2009 0013-4651/2009/156 3 /1/0/$23.00 The Electrochemical Society Investigation of Carbon Nanotube Growth on Multimetal Layers for

More information

Isolation of elements

Isolation of elements 1 In an IC, devices on the same substrate must be isolated from one another so that there is no current conduction between them. Isolation uses either the junction or dielectric technique or a combination

More information

Lecture 22: Integrated circuit fabrication

Lecture 22: Integrated circuit fabrication Lecture 22: Integrated circuit fabrication Contents 1 Introduction 1 2 Layering 4 3 Patterning 7 4 Doping 8 4.1 Thermal diffusion......................... 10 4.2 Ion implantation.........................

More information

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation. Figure 2.1 (p. 58) Basic fabrication steps in the silicon planar process: (a) oxide formation, (b) selective oxide removal, (c) deposition of dopant atoms on wafer, (d) diffusion of dopant atoms into exposed

More information

Visualization and Control of Particulate Contamination Phenomena in a Plasma Enhanced CVD Reactor

Visualization and Control of Particulate Contamination Phenomena in a Plasma Enhanced CVD Reactor Visualization and Control of Particulate Contamination Phenomena in a Plasma Enhanced CVD Reactor Manabu Shimada, 1 Kikuo Okuyama, 1 Yutaka Hayashi, 1 Heru Setyawan, 2 and Nobuki Kashihara 2 1 Department

More information

A Novel Method for Low-Resistivity Metal-Interconnection by Using Metallic Functional Liquids and Catalytically Generated Hydrogen Atoms.

A Novel Method for Low-Resistivity Metal-Interconnection by Using Metallic Functional Liquids and Catalytically Generated Hydrogen Atoms. A Novel Method for Low-Resistivity Metal-Interconnection by Using Metallic Functional Liquids and Catalytically Generated Hydrogen Atoms. Journal: 2011 MRS Fall Meeting Manuscript ID: Draft Manuscript

More information

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University 2014 Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University Page1 Syllabus UNIT 1 Introduction to VLSI Technology: Classification of ICs, Scale of integration,

More information

OPTIMIZED SEMI-ADDITIVE PROCESS FOR POLYIMIDE AS DIELECTRIC IN BUILD UP PACKAGES

OPTIMIZED SEMI-ADDITIVE PROCESS FOR POLYIMIDE AS DIELECTRIC IN BUILD UP PACKAGES OPTIMIZED SEMI-ADDITIVE PROCESS FOR POLYIMIDE AS DIELECTRIC IN BUILD UP PACKAGES Fei Peng 1, Ernest Long 1, Jim Watkowski 1, Kesheng Feng 1, Naomi Ando 2, Kazuhiro Inazu 2 1 MacDermid, 227 Freight St,

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION SUPPLEMENTARY INFORMATION Investigating and Understanding the Initial Growth Mechanisms of Catalyst-Free Growth of 1D SiC Nanostructures Yoo Youl Choi and Doo Jin Choi *, Department of Materials Science

More information

ISSN: ISO 9001:2008 Certified International Journal of Engineering and Innovative Technology (IJEIT) Volume 3, Issue 6, December 2013

ISSN: ISO 9001:2008 Certified International Journal of Engineering and Innovative Technology (IJEIT) Volume 3, Issue 6, December 2013 ISSN: 2277-3754 Fabrication and Characterization of Flip-Chip Power Light Emitting Diode with Backside Reflector Ping-Yu Kuei, Wen-Yu Kuo, Liann-Be Chang, Tung-Wuu Huang, Ming-Jer Jeng, Chun-Te Wu, Sung-Cheng

More information

MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices

MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices Hitachi Review Vol. 57 (2008), No. 3 127 MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices Tadashi Terasaki Masayuki Tomita Katsuhiko Yamamoto Unryu Ogawa, Dr. Eng. Yoshiki Yonamoto,

More information

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley Nonplanar Metallization Planar Metallization Passivation Metal 5 (copper) Metal 3 (copper) Interlevel dielectric (ILD) Via (tungsten) Metal 1 (copper) Tungsten Plug to Si Silicon Caps and Plugs oxide oxide

More information

Application of ultra-thin aluminum oxide etch mask made by atomic layer deposition technique

Application of ultra-thin aluminum oxide etch mask made by atomic layer deposition technique IOP Publishing Journal of Physics: Conference Series 61 (2007) 369 373 doi:10.1088/1742-6596/61/1/074 International Conference on Nanoscience and Technology (ICN&T 2006) Application of ultra-thin aluminum

More information

Fabrication Technology

Fabrication Technology Fabrication Technology By B.G.Balagangadhar Department of Electronics and Communication Ghousia College of Engineering, Ramanagaram 1 OUTLINE Introduction Why Silicon The purity of Silicon Czochralski

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

Growth Of TiO 2 Films By RF Magnetron Sputtering Studies On The Structural And Optical Properties

Growth Of TiO 2 Films By RF Magnetron Sputtering Studies On The Structural And Optical Properties Journal of Multidisciplinary Engineering Science and Technology (JMEST) Growth Of TiO 2 Films By RF Magnetron Sputtering Studies On The Structural And Optical Properties Ahmed K. Abbas 1, Mohammed K. Khalaf

More information

Measurement of thickness of native silicon dioxide with a scanning electron microscope

Measurement of thickness of native silicon dioxide with a scanning electron microscope Measurement of thickness of native silicon dioxide with a scanning electron microscope V. P. Gavrilenko* a, Yu. A. Novikov b, A. V. Rakov b, P. A. Todua a a Center for Surface and Vacuum Research, 40 Novatorov

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 9/13/2007 Fabrication Technology Lecture 1 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world)

More information

Device Fabrication: Metallization

Device Fabrication: Metallization Device Fabrication: Metallization 1 Applications: Interconnection 2 Q & A Can we reduce all dimensions of metal interconnection line at the same ratio? R= l/wh. When we shrink all dimensions (length l,

More information

Oxidation Behavior of Copper at a Temperature below 300 C and the Methodology for Passivation

Oxidation Behavior of Copper at a Temperature below 300 C and the Methodology for Passivation Materials Research. 2016; 19(1): 51-56 2016 DOI: http://dx.doi.org/10.1590/1980-5373-mr-2015-0139 Oxidation Behavior of Copper at a Temperature below 300 C and the Methodology for Passivation Shao-Kuan

More information

Fabrication Techniques for Thin-Film Silicon Layer Transfer

Fabrication Techniques for Thin-Film Silicon Layer Transfer Fabrication Techniques for Thin-Film Silicon Layer Transfer S. L. Holl a, C. A. Colinge b, S. Song b, R. Varasala b, K. Hobart c, F. Kub c a Department of Mechanical Engineering, b Department of Electrical

More information

HBLED packaging is becoming one of the new, high

HBLED packaging is becoming one of the new, high Ag plating in HBLED packaging improves reflectivity and lowers costs JONATHAN HARRIS, President, CMC Laboratories, Inc., Tempe, AZ Various types of Ag plating technology along with the advantages and limitations

More information

NiPt salicide process improvement for 28nm CMOS with Pt(10%) additive

NiPt salicide process improvement for 28nm CMOS with Pt(10%) additive NiPt salicide process improvement for 28nm CMOS with Pt(10%) additive Jerander Lai, Yi-Wei Chen, Nien-Ting Ho, Yu Shan Shiu, J F Lin Shuen Chen Lei, Nick ZH Chang, Ling Chun Chou, C C Huang, and J Y Wu

More information

Surface Analysis of Electrochromic Switchable Mirror Glass Based on Magnesium-Nickel Thin Film in Accelerated Degradation Test

Surface Analysis of Electrochromic Switchable Mirror Glass Based on Magnesium-Nickel Thin Film in Accelerated Degradation Test Materials Transactions, Vol. 52, No. 3 (2011) pp. 464 to 468 #2011 The Japan Institute of Metals Surface Analysis of Electrochromic Switchable Mirror Glass Based on Magnesium-Nickel Thin Film in Accelerated

More information

Enhanced Thermal Conductivity of Polyimide Films via a Hybrid of Micro- and Nano-Sized Boron Nitride

Enhanced Thermal Conductivity of Polyimide Films via a Hybrid of Micro- and Nano-Sized Boron Nitride The 2012 World Congress on Advances in Civil, Environmental, and Materials Research (ACEM 12) Seoul, Korea, August 26-30, 2012 Enhanced Thermal Conductivity of Polyimide Films via a Hybrid of Micro- and

More information

Alternative Methods of Yttria Deposition For Semiconductor Applications. Rajan Bamola Paul Robinson

Alternative Methods of Yttria Deposition For Semiconductor Applications. Rajan Bamola Paul Robinson Alternative Methods of Yttria Deposition For Semiconductor Applications Rajan Bamola Paul Robinson Origin of Productivity Losses in Etch Process Aggressive corrosive/erosive plasma used for etch Corrosion/erosion

More information

J. Mater. Sci. Technol., 2010, 26(11),

J. Mater. Sci. Technol., 2010, 26(11), J. Mater. Sci. Technol., 2010, 26(11), 1016-1020. Effects of Current Density on the Microstructure and the Corrosion Resistance of Alumina Coatings Embedded with SiC Nano-particles Produced by Micro-arc

More information

O2 Plasma Damage and Dielectric Recoveries to Patterned CDO Low-k Dielectrics

O2 Plasma Damage and Dielectric Recoveries to Patterned CDO Low-k Dielectrics O2 Plasma Damage and Dielectric Recoveries to Patterned CDO Low-k Dielectrics H. Huang 1, J. Bao 1, H. Shi 1, P. S. Ho 1, M L McSwiney 2, M D Goodner 2, M Moinpour 2, and G M Kloster 2 1 Laboratory for

More information

Ultra High Barrier Coatings by PECVD

Ultra High Barrier Coatings by PECVD Society of Vacuum Coaters 2014 Technical Conference Presentation Ultra High Barrier Coatings by PECVD John Madocks & Phong Ngo, General Plasma Inc., 546 E. 25 th Street, Tucson, Arizona, USA Abstract Silicon

More information

Journal of Chemical and Pharmaceutical Research, 2017, 9(1): Research Article

Journal of Chemical and Pharmaceutical Research, 2017, 9(1): Research Article Available online www.jocpr.com Journal of Chemical and Pharmaceutical Research, 2017, 9(1):163-167 Research Article ISSN : 0975-7384 CODEN(USA) : JCPRC5 Synthesis and Characterization of Carbon Nano Spheres

More information

Growth of SiC thin films on graphite for oxidation-protective coating

Growth of SiC thin films on graphite for oxidation-protective coating Growth of SiC thin films on graphite for oxidation-protective coating J.-H. Boo, a) M. C. Kim, and S.-B. Lee Department of Chemistry, Sungkyunkwan University, Suwon 440-746, Korea S.-J. Park and J.-G.

More information

EE 434 Lecture 9. IC Fabrication Technology

EE 434 Lecture 9. IC Fabrication Technology EE 434 Lecture 9 IC Fabrication Technology Quiz 7 The layout of a film resistor with electrodes A and B is shown. If the sheet resistance of the film is 40 /, determine the resistance between nodes A and

More information

Atomic Layer Deposition(ALD)

Atomic Layer Deposition(ALD) Atomic Layer Deposition(ALD) AlO x for diffusion barriers OLED displays http://en.wikipedia.org/wiki/atomic_layer_deposition#/media/file:ald_schematics.jpg Lam s market-leading ALTUS systems combine CVD

More information

EIPC Summer Conference Luxembourg Paper 4

EIPC Summer Conference Luxembourg Paper 4 EIPC Summer Conference Luxembourg 2013 PCB differentiation through technology - made in Europe Paper 4 Copper plating process for filling micro vias and through via holes with minimum surface deposition

More information

Radiation Tolerant Isolation Technology

Radiation Tolerant Isolation Technology Radiation Tolerant Isolation Technology Background The following contains a brief description of isolation technologies used for radiation hardened integrated circuits. The technologies mentioned are junction

More information

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials Interconnects Outline Interconnect scaling issues Aluminum technology Copper technology 1 Properties of Interconnect Materials Metals Silicides Barriers Material Thin film Melting resistivity point ( C)

More information

Relationship between Microstructure and Vacuum Leak Characteristics of SiC Coating Layer

Relationship between Microstructure and Vacuum Leak Characteristics of SiC Coating Layer , pp.47-51 http://dx.doi.org/10.14257/astl.2015.117.11 Relationship between Microstructure and Vacuum Leak Characteristics of SiC Coating Layer Yootaek Kim 1 and Junwon Choi 2 1 Dept. of Materials Engineering,

More information

Activation Behavior of Boron and Phosphorus Atoms Implanted in Polycrystalline Silicon Films by Heat Treatment at 250 C

Activation Behavior of Boron and Phosphorus Atoms Implanted in Polycrystalline Silicon Films by Heat Treatment at 250 C Japanese Journal of Applied Physics Vol. 44, No. 3, 2005, pp. 1186 1191 #2005 The Japan Society of Applied Physics Activation Behavior of Boron and Phosphorus Atoms Implanted in Polycrystalline Silicon

More information

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE Dr. Alan Doolittle

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE Dr. Alan Doolittle Lecture 12 Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12 Evaporation and Sputtering (Metalization) Evaporation For all devices, there is a need to go from semiconductor to metal.

More information

CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node

CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node UMC/ ATD_AM / CMP Department T. C. Tsai, W. C. Tsao, Welch Lin, C. L. Hsu, C. L. Lin, C. M. Hsu, J. F. Lin, C. C.

More information

Characterization of Polycrystalline SiC Films Grown by HW-CVD using Silicon Tetrafluoride

Characterization of Polycrystalline SiC Films Grown by HW-CVD using Silicon Tetrafluoride Characterization of Polycrystalline SiC Films Grown by HW-CVD using Silicon Tetrafluoride Katsuya Abe, Yohei Nagasaka, Takahiro Kida, Tomohiko Yamakami, Rinpei Hayashibe and Kiichi Kamimura, Faculty of

More information

Morphology of Thin Aluminum Film Grown by DC Magnetron Sputtering onto SiO 2 on Si(100) Substrate

Morphology of Thin Aluminum Film Grown by DC Magnetron Sputtering onto SiO 2 on Si(100) Substrate Morphology of Thin Aluminum Film Grown by DC Magnetron Sputtering onto SiO 2 on Si(1) Substrate Fan Wu Microelectronics Center, Medtronic Inc., Tempe, AZ 85261 James E. Morris Department of Electrical

More information

Plasma-Enhanced Chemical Vapor Deposition

Plasma-Enhanced Chemical Vapor Deposition Plasma-Enhanced Chemical Vapor Deposition Steven Glenn July 8, 2009 Thin Films Lab 4 ABSTRACT The objective of this lab was to explore lab and the Applied Materials P5000 from a different point of view.

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

Grain Sizes and Surface Roughness in Platinum and Gold Thin Films. L.L. Melo, A. R. Vaz, M.C. Salvadori, M. Cattani

Grain Sizes and Surface Roughness in Platinum and Gold Thin Films. L.L. Melo, A. R. Vaz, M.C. Salvadori, M. Cattani Journal of Metastable and Nanocrystalline Materials Vols. 20-21 (2004) pp. 623-628 online at http://www.scientific.net 2004 Trans Tech Publications, Switzerland Grain Sizes and Surface Roughness in Platinum

More information

Interfacial Reactions between the Sn-9Zn Solder and Au/Ni/SUS304 Multi-layer Substrate

Interfacial Reactions between the Sn-9Zn Solder and Au/Ni/SUS304 Multi-layer Substrate , July 6-8, 2011, London, U.K. Interfacial Reactions between the Sn-9Zn Solder and Au/Ni/SUS304 Multi-layer Substrate *Yee-Wen Yen 1, Chien-Chung Jao 2, Kuo-Sing Chao 1, Shu-Mei Fu Abstract Sn-9Zn lead-free

More information

Available online at ScienceDirect. Materials Today: Proceedings 2 (2015 )

Available online at  ScienceDirect. Materials Today: Proceedings 2 (2015 ) Available online at www.sciencedirect.com ScienceDirect Materials Today: Proceedings 2 (2015 ) 5582 5586 International Conference on Solid State Physics 2013 (ICSSP 13) Thickness dependent optimization

More information

The effect of radio-frequency sputtering power on the structural properties of Chromium thin films

The effect of radio-frequency sputtering power on the structural properties of Chromium thin films XXX International Conference on Surface Modification Technologies (SMT30) 29TH JUNE - 1ST JULY, 2016, MILAN, ITALY The effect of radio-frequency sputtering power on the structural properties of Chromium

More information

Process Flow in Cross Sections

Process Flow in Cross Sections Process Flow in Cross Sections Process (simplified) 0. Clean wafer in nasty acids (HF, HNO 3, H 2 SO 4,...) --> wear gloves! 1. Grow 500 nm of SiO 2 (by putting the wafer in a furnace with O 2 2. Coat

More information

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high vacuum ~10-7 torr Removes residual gases eg oxygen from

More information

Annealing effects on Pt coating morphology

Annealing effects on Pt coating morphology Annealing effects on Pt coating morphology Masina C J 1,2, Topić M 2, Ndwandwe M O 1, Thabezhe N F 1, Nkosi M M 2 and Bucher R 2 1 Department of Physics and Engineering, University of Zululand, Private

More information

Thermal Annealing Effects on the Thermoelectric and Optical Properties of SiO 2 /SiO 2 +Au Multilayer Thin Films

Thermal Annealing Effects on the Thermoelectric and Optical Properties of SiO 2 /SiO 2 +Au Multilayer Thin Films American Journal of Materials Science 2015, 5(3A): 31-35 DOI: 10.5923/s.materials.201502.05 Thermal Annealing Effects on the Thermoelectric and Optical Properties of SiO 2 /SiO 2 +Au Multilayer Thin Films

More information

Supporting Information

Supporting Information Supporting Information Controlled Vapor Phase Growth of Single Crystalline, Two-Dimensional GaSe Crystals with High Photoresponse Xufan Li, Ming-Wei Lin, Alexander A. Puretzky, Juan C. Idrobo, Cheng Ma,

More information

Microstructures using RF sputtered PSG film as a sacrificial layer in surface micromachining

Microstructures using RF sputtered PSG film as a sacrificial layer in surface micromachining Sādhanā Vol. 34, Part 4, August 2009, pp. 557 562. Printed in India Microstructures using RF sputtered PSG film as a sacrificial layer in surface micromachining VIVEKANAND BHATT 1,, SUDHIR CHANDRA 1 and

More information

Department of Applied Science for Electronics and Materials, Kyushu University, Kasuga, Fukuoka , Japan 2

Department of Applied Science for Electronics and Materials, Kyushu University, Kasuga, Fukuoka , Japan 2 Proc. Int. Conf. and Summer School on Advanced Silicide Technology 2014 JJAP Conf. Proc. 3 (2015) 011102 2015 The Japan Society of Applied Physics Epitaxial Growth of n-type -FeSi2 Thin Films on p-type

More information

VLSI Technology. By: Ajay Kumar Gautam

VLSI Technology. By: Ajay Kumar Gautam By: Ajay Kumar Gautam Introduction to VLSI Technology, Crystal Growth, Oxidation, Epitaxial Process, Diffusion Process, Ion Implantation, Lithography, Etching, Metallization, VLSI Process Integration,

More information

Excimer Laser Annealing of Hydrogen Modulation Doped a-si Film

Excimer Laser Annealing of Hydrogen Modulation Doped a-si Film Materials Transactions, Vol. 48, No. 5 (27) pp. 975 to 979 #27 The Japan Institute of Metals Excimer Laser Annealing of Hydrogen Modulation Doped a-si Film Akira Heya 1, Naoto Matsuo 1, Tadashi Serikawa

More information

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther EECS 40 Spring 2003 Lecture 19 Microfabrication 4/1/03 Prof. ndy Neureuther How are Integrated Circuits made? Silicon wafers Oxide formation by growth or deposition Other films Pattern transfer by lithography

More information

High-Temperature-Resistant Interconnections Formed by Using Nickel Micro-plating and Ni Nano-particles for Power Devices

High-Temperature-Resistant Interconnections Formed by Using Nickel Micro-plating and Ni Nano-particles for Power Devices Kato et al.: High-Temperature-Resistant Interconnections (1/6) [Technical Paper] High-Temperature-Resistant Interconnections Formed by Using Nickel Micro-plating and Ni Nano-particles for Power Devices

More information

Annealing Behavior of Bi 2 Te 3 Thermoelectric Semiconductor Electrodeposited for Nanowire Applications

Annealing Behavior of Bi 2 Te 3 Thermoelectric Semiconductor Electrodeposited for Nanowire Applications Journal of the Korean Physical Society, Vol. 50, No. 3, March 2007, pp. 670 676 Annealing Behavior of Bi 2 Te 3 Thermoelectric Semiconductor Electrodeposited for Nanowire Applications Min-Young Kim and

More information

Heavily Aluminum-Doped Epitaxial Layers for Ohmic Contact Formation to p-type 4H-SiC Produced by Low-Temperature Homoepitaxial Growth

Heavily Aluminum-Doped Epitaxial Layers for Ohmic Contact Formation to p-type 4H-SiC Produced by Low-Temperature Homoepitaxial Growth Journal of ELECTRONIC MATERIALS, Vol. 39, No. 1, 2010 DOI: 10.1007/s11664-009-0953-6 Ó 2009 TMS Heavily Aluminum-Doped Epitaxial Layers for Ohmic Contact Formation to p-type 4H-SiC Produced by Low-Temperature

More information

Passivation of Porous Silicon by LaF 3 Using a Simple Single-Source Chemical Bath Technique

Passivation of Porous Silicon by LaF 3 Using a Simple Single-Source Chemical Bath Technique International Journal of Materials and Chemistry 2012, 2(3): 111-115 DOI: 10.5923/j.ijmc.20120203.05 Passivation of Porous Silicon by LaF 3 Using a Simple Abdul Al Mortuza 1, Md. Hafizur Rahman 2, Sinthia

More information

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material on any substrate (in principal) Start with pumping down

More information

High Performance Lithium Battery Anodes Using Silicon Nanowires

High Performance Lithium Battery Anodes Using Silicon Nanowires Supporting Online Materials For High Performance Lithium Battery Anodes Using Silicon Nanowires Candace K. Chan, Hailin Peng, Gao Liu, Kevin McIlwrath, Xiao Feng Zhang, Robert A. Huggins and Yi Cui * *To

More information

Electroless plating of Cu Ni P alloy on PET fabrics and effect of plating parameters on the properties of conductive fabrics

Electroless plating of Cu Ni P alloy on PET fabrics and effect of plating parameters on the properties of conductive fabrics Journal of Alloys and Compounds 455 (2008) 308 313 Electroless plating of Cu Ni P alloy on PET fabrics and effect of plating parameters on the properties of conductive fabrics Xueping Gan, Yating Wu, Lei

More information

Schottky Tunnel Contacts for Efficient Coupling of Photovoltaics and Catalysts

Schottky Tunnel Contacts for Efficient Coupling of Photovoltaics and Catalysts Schottky Tunnel Contacts for Efficient Coupling of Photovoltaics and Catalysts Christopher E. D. Chidsey Department of Chemistry Stanford University Collaborators: Paul C. McIntyre, Y.W. Chen, J.D. Prange,

More information

Chemical Vapor Deposition

Chemical Vapor Deposition Preparation of Low-k Porous SiO 2 Films by SiO 2 /Organic Hybrid Chemical Vapor Deposition Akira Fujimoto and Osamu Sugiura Department of Physical Electronics, Tokyo Institute of Technology, 2-2-, O-okayama,

More information

Post-CMP Cleaning: Interaction between Particles and Surfaces

Post-CMP Cleaning: Interaction between Particles and Surfaces Post-CMP Cleaning: Interaction between Particles and Surfaces J.-G. Park and T.-G. Kim Department of Materials Engineering, Hanyang University, Ansan, 426-791, South Korea E-mail: jgpark@hanyang.ac.kr

More information

Conductivity and Dielectric Studies of PMMA Composites

Conductivity and Dielectric Studies of PMMA Composites Chem Sci Trans., 2013, 2(S1), S129-S134 Chemical Science Transactions DOI:10.7598/cst2013.26 ISSN/E-ISSN: 2278-3458/2278-3318 RESEARCH ARTICLE Conductivity and Dielectric Studies of PMMA Composites S.

More information

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 2001 by Prentice Hall Chapter 11 Deposition Film Layers for an MSI Era NMOS Transistor Topside Nitride Pre-metal oxide Sidewall

More information

Fabrication of regular silicon microstructures by photo-electrochemical etching of silicon

Fabrication of regular silicon microstructures by photo-electrochemical etching of silicon phys. stat. sol. (c) 2, No. 9, 3198 3202 (2005) / DOI 10.1002/pssc.200461110 Fabrication of regular silicon microstructures by photo-electrochemical etching of silicon G. Barillaro *, P. Bruschi, A. Diligenti,

More information