Comparison of topside contact layouts for power dies embedded in PCB

Size: px
Start display at page:

Download "Comparison of topside contact layouts for power dies embedded in PCB"

Transcription

1 1 / 23 Comparison of topside contact layouts for power dies embedded in PCB ESTC 2016, Grenoble Chenjiang YU 1, Cyril BUTTAY 2, Éric LABOURÉ 1, Vincent BLEY 3, Céline COMBETTES 3, Gilles BRILLAT 3 1 GEEPS, Paris, France 2 Laboratoire Ampère, Lyon, France 3 LAPLACE, Toulouse, France 14/09/16

2 Outline 2 / 23 Introduction Proposed Embedding Technique Effect of Contact Area/Layout Summary and Conclusion

3 Outline 3 / 23 Introduction Proposed Embedding Technique Effect of Contact Area/Layout Summary and Conclusion

4 Advantages of die embedding 4 / 23 The Printed-Circuit-Board technology (PCB) enables: higher interconnect density multi-layer small pitch (down to 25 µm linewidth) Low inductance [1] small size laminated busbar structure batch-processed manufacturing all interconnects are processed at once E. Hoene, Ultra Low Inductance Package for SiC ECPE workshop on power boards, 2012, [1]

5 Advantages of die embedding 4 / 23 The Printed-Circuit-Board technology (PCB) enables: higher interconnect density multi-layer small pitch (down to 25 µm linewidth) Low inductance [1] small size laminated busbar structure batch-processed manufacturing all interconnects are processed at once E. Hoene, Ultra Low Inductance Package for SiC ECPE workshop on power boards, 2012, [1]

6 Advantages of die embedding 4 / 23 The Printed-Circuit-Board technology (PCB) enables: higher interconnect density multi-layer small pitch (down to 25 µm linewidth) Low inductance [1] small size laminated busbar structure batch-processed manufacturing all interconnects are processed at once E. Hoene, Ultra Low Inductance Package for SiC ECPE workshop on power boards, 2012, [1]

7 5 / 23 Literature Review Die embedding in PCB 1 Patents on chip embedding [2] A. Ostmann, Leistungselektronik in der Leiterplatte AT&S Technologieforum, 2013 Very active area in recent years Many applications to high interconnect density Several industrial developments (AT&S, Schweizer, etc.)

8 Literature Review Die embedding in PCB 2 Low-inductance packaging for SiC [1] I Half bridge module I 0.8 nh loop inductance I Embedding die using stud bumps E. Hoene, Ultra Low Inductance Package for SiC ECPE workshop on power boards, 2012 [1] 6 / 23

9 Literature Review Die embedding in PCB 2 Low-inductance packaging for SiC [1] I Half bridge module I 0.8 nh loop inductance I Embedding die using stud bumps E. Hoene, Ultra Low Inductance Package for SiC ECPE workshop on power boards, 2012 [1] I Power module development through german project Hi-LEVEL [3] I 10 kw and 50 kw demonstrators I Thick copper or DBC for thermal management 6 / 23

10 Outline 7 / 23 Introduction Proposed Embedding Technique Effect of Contact Area/Layout Summary and Conclusion

11 8 / 23 Overview of the process Start with a DBC substrate Die attach (silver sintering) PCB stacking PCB lamination Topside copper etching Laser ablation Copper electroplating

12 8 / 23 Overview of the process Start with a DBC substrate Die attach (silver sintering) PCB stacking PCB lamination Topside copper etching Laser ablation Copper electroplating

13 8 / 23 Overview of the process Start with a DBC substrate Die attach (silver sintering) PCB stacking PCB lamination Topside copper etching Laser ablation Copper electroplating

14 8 / 23 Overview of the process Start with a DBC substrate Die attach (silver sintering) PCB stacking PCB lamination Topside copper etching Laser ablation Copper electroplating

15 8 / 23 Overview of the process Start with a DBC substrate Die attach (silver sintering) PCB stacking PCB lamination Topside copper etching Laser ablation Copper electroplating

16 8 / 23 Overview of the process Start with a DBC substrate Die attach (silver sintering) PCB stacking PCB lamination Topside copper etching Laser ablation Copper electroplating

17 8 / 23 Overview of the process Start with a DBC substrate Die attach (silver sintering) PCB stacking PCB lamination Topside copper etching Laser ablation Copper electroplating

18 Overview of the process significant points 9 / 23 Backside die attach with silver sintering: The die does not move during assembly Accurate positioning Ablation using a CO 2 laser Very good selectivity (metal layers insensitive to laser light) Use of the copper layer as an alignment mask Prototype-scale equipment used Can manufacture prototypes from 4x4 cm 2 up to 21x28 cm 2 Affordable, useful for process development.

19 Overview of the process significant points 9 / 23 Backside die attach with silver sintering: The die does not move during assembly Accurate positioning Ablation using a CO 2 laser Very good selectivity (metal layers insensitive to laser light) Use of the copper layer as an alignment mask Prototype-scale equipment used Can manufacture prototypes from 4x4 cm 2 up to 21x28 cm 2 Affordable, useful for process development.

20 Overview of the process significant points 9 / 23 Backside die attach with silver sintering: The die does not move during assembly Accurate positioning Ablation using a CO 2 laser Very good selectivity (metal layers insensitive to laser light) Use of the copper layer as an alignment mask Prototype-scale equipment used Can manufacture prototypes from 4x4 cm 2 up to 21x28 cm 2 Affordable, useful for process development.

21 10 / 23 Die Preparation Lab-scale process Standard Al topside Unsuitable Ti/Cu PVD with a shadow mask (50/500 nm) Simple process for singulated dies

22 Die Preparation Lab-scale process Mask I Standard Al topside Unsuitable I Ti/Cu PVD with a shadow mask (50/500 nm) I Simple process for singulated dies 10 / 23

23 Die Preparation Lab-scale process Mask Die I Standard Al topside Unsuitable I Ti/Cu PVD with a shadow mask (50/500 nm) I Simple process for singulated dies 10 / 23

24 Die Preparation Lab-scale process Mask Die PVD I Standard Al topside Unsuitable I Ti/Cu PVD with a shadow mask (50/500 nm) I Simple process for singulated dies 10 / 23

25 Die Preparation Lab-scale process Mask Die PVD I Standard Al topside Unsuitable I Ti/Cu PVD with a shadow mask (50/500 nm) I Simple process for singulated dies 5 5 mm2 IGBT die 10 / 23

26 11 / 23 Cross section Vertical walls in epoxy layers Good self-alignment No degradation of die topside metal due to CO 2 laser Die contact not yet perfect

27 Outline 12 / 23 Introduction Proposed Embedding Technique Effect of Contact Area/Layout Summary and Conclusion

28 Effect of Contact Area/Layout 13 / 23 R Topside copper Wells Die opper foil Electroplated copper Thick topside copper foil (35 µm) Thin electroplated copper (10 µm) Many wells: More copper section on walls Large well(s): Thicker die contact metallization reduction of topside copper section Die fiber-resin composite Die topside métallization

29 Effect of Contact Area/Layout 13 / 23 R Topside copper Wells Die opper foil Electroplated copper Thick topside copper foil (35 µm) Thin electroplated copper (10 µm) Many wells: More copper section on walls Large well(s): Thicker die contact metallization reduction of topside copper section Die fiber-resin composite Die topside métallization

30 Effect of Contact Area/Layout 13 / 23 R Topside copper Wells Die opper foil Electroplated copper Thick topside copper foil (35 µm) Thin electroplated copper (10 µm) Many wells: More copper section on walls Large well(s): Thicker die contact metallization reduction of topside copper section Die fiber-resin composite Die topside métallization

31 14 / 23 Modelling R access R Topside copper Wells R top R wall Die R cont R Al V in R die Structure divided into µm cells 2-D current flow assumed Generation of a meshed circuit of resistors Solving using Modified Nodal Analysis.

32 Modelling Results 15 / 23 1 mm 2 4 mm 2 9 mm 2 16 mm 2 4 mm 2 9 mm 2 9 mm Voltage [V]

33 16 / 23 Modelling Results (2) # of Surface Resistance contacts (mm 2 ) (mω) Resistance decreases with: Contact area Contact distribution Well spread contacts are more efficient split 4 mm 2 contact comparable to single 16 mm 2

34 17 / 23 Experimental Validation Test Vehicles 6 6 mm 2 diodes embedded in PCB 4-point connexions for accurate resistance measurement high current (up to 100 A), pulsed measurement

35 18 / 23 Experimental Validation Test Results Current [A] mm² contact 4 mm² contact 9 mm² contact 16 mm² contact 4. 83mΩ 5. 43mΩ 6. 62mΩ mΩ Voltage [V] # of Surface Resistance contacts (mm 2 ) (mω) Resistance value extracted from I(V) characteristic of diode Large scattering of experimental data (±20%) Same die in standard TO-247 package: 4.4 mω

36 Contact Resistance conclusions 19 / 23 Contact distribution is important, contact area not so much Experimental results show same trend as simulation Resistance 4 times higher! Poor quality of die/electroplated copper interface Model also probably too optimistic (diode modelled as a resistance) Resistance equivalent to that of (commercial) wirebonded dies

37 Contact Resistance conclusions 19 / 23 Contact distribution is important, contact area not so much Experimental results show same trend as simulation Resistance 4 times higher! Poor quality of die/electroplated copper interface Model also probably too optimistic (diode modelled as a resistance) Resistance equivalent to that of (commercial) wirebonded dies

38 Contact Resistance conclusions 19 / 23 Contact distribution is important, contact area not so much Experimental results show same trend as simulation Resistance 4 times higher! Poor quality of die/electroplated copper interface Model also probably too optimistic (diode modelled as a resistance) Resistance equivalent to that of (commercial) wirebonded dies

39 Contact Resistance conclusions 19 / 23 Contact distribution is important, contact area not so much Experimental results show same trend as simulation Resistance 4 times higher! Poor quality of die/electroplated copper interface Model also probably too optimistic (diode modelled as a resistance) Resistance equivalent to that of (commercial) wirebonded dies

40 Outline 20 / 23 Introduction Proposed Embedding Technique Effect of Contact Area/Layout Summary and Conclusion

41 Summary and Conclusion 21 / 23 Embedding of power devices Custom design at die level Attractive for fast, wide-bandgap devices Contact layout allows for better current spreading Simple process Lab-scale process presented Low contact resistance achieved Main issue: die topside finish Developments to come: Half-bridge with gate drivers Embedding of passive components Work on thermal design

42 Summary and Conclusion 21 / 23 Embedding of power devices Custom design at die level Attractive for fast, wide-bandgap devices Contact layout allows for better current spreading Simple process Lab-scale process presented Low contact resistance achieved Main issue: die topside finish Developments to come: Half-bridge with gate drivers Embedding of passive components Work on thermal design

43 Summary and Conclusion 21 / 23 Embedding of power devices Custom design at die level Attractive for fast, wide-bandgap devices Contact layout allows for better current spreading Simple process Lab-scale process presented Low contact resistance achieved Main issue: die topside finish Developments to come: Half-bridge with gate drivers Embedding of passive components Work on thermal design

44 Bibliography I 22 / 23 E. Hoene, Ultra Low Inductance Package for SiC, in ECPE workshop on power boards, ECPE, A. Ostmann, Leistungselektronik in der Leiterplatte, in AT&S Technologieforum, A. Ostmann, L. Boettcher, D. Manessis, S. Karaszkiewicz, and K.-D. Lang, Power modules with embedded components, in Microelectronics Packaging Conference (EMPC), 2013 European, pp. 1 4, Sept

45 23 / 23 Thank you for your attention contact: cyril.buttay@insa-lyon.fr This work was funded by the French National Research Agency (ANR) under the grant name ETHAER.

EDT for Power Devices

EDT for Power Devices 1 / 24 EDT for Power Devices Cyril BUTTAY 1, Chenjiang YU 2, Éric LABOURÉ 2, Vincent BLEY 3, Céline COMBETTES 3 1 Laboratoire Ampère, Lyon, France 2 GEEPS, Paris, France 3 LAPLACE, Toulouse, France 22/09/16

More information

Comparison of topside contact layouts for power dies embedded in PCB

Comparison of topside contact layouts for power dies embedded in PCB Comparison of topside contact layouts for power dies embedded in PCB Chenjiang Yu, Cyril Buttay, Eric Labouré, Vincent Bley, Céline Combettes, Gilles Brillat To cite this version: Chenjiang Yu, Cyril Buttay,

More information

Power Electronics Packaging Revolution Module without bond wires, solder and thermal paste

Power Electronics Packaging Revolution Module without bond wires, solder and thermal paste SEMIKRON Pty Ltd 8/8 Garden Rd Clayton Melbourne 3168 VIC Australia Power Electronics Packaging Revolution Module without bond wires, solder and thermal paste For some years now, the elimination of bond

More information

Challenges for Embedded Device Technologies for Package Level Integration

Challenges for Embedded Device Technologies for Package Level Integration Challenges for Embedded Device Technologies for Package Level Integration Kevin Cannon, Steve Riches Tribus-D Ltd Guangbin Dou, Andrew Holmes Imperial College London Embedded Die Technology IMAPS-UK/NMI

More information

Packaging Technologies for SiC Power Modules

Packaging Technologies for SiC Power Modules Packaging Technologies for SiC Power Modules Masafumi Horio Yuji Iizuka Yoshinari Ikeda ABSTRACT Wide bandgap materials such as silicon carbide (SiC) and gallium nitride (GaN) are attracting attention

More information

Power Electronics Packaging Solutions for Device Junction Temperature over 220 o C

Power Electronics Packaging Solutions for Device Junction Temperature over 220 o C EPRC 12 Project Proposal Power Electronics Packaging Solutions for Device Junction Temperature over 220 o C 15 th August 2012 Page 1 Motivation Increased requirements of high power semiconductor device

More information

3D Wirebondless IGBT Module for High Power Applications Dr. Ziyang GAO Jun. 20, 2014

3D Wirebondless IGBT Module for High Power Applications Dr. Ziyang GAO Jun. 20, 2014 3D Wirebondless IGBT Module for High Power Applications Dr. Ziyang GAO Jun. 20, 2014 1 1 Outline Background Information Technology Development Trend Technical Challenges ASTRI s Solutions Concluding Remarks

More information

Chips Face-up Panelization Approach For Fan-out Packaging

Chips Face-up Panelization Approach For Fan-out Packaging Chips Face-up Panelization Approach For Fan-out Packaging Oct. 15, 2015 B. Rogers, D. Sanchez, C. Bishop, C. Sandstrom, C. Scanlan, TOlson T. REV A Background on FOWLP Fan-Out Wafer Level Packaging o Chips

More information

DIELECTRICS FOR EMBEDDING ACTIVE AND PASSIVE COMPONENTS

DIELECTRICS FOR EMBEDDING ACTIVE AND PASSIVE COMPONENTS DIELECTRICS FOR EMBEDDING ACTIVE AND PASSIVE COMPONENTS J. Kress, R. Park, A. Bruderer, and N. Galster Atotech Deutschland GmbH Basle, Switzerland juergen.kress@atotech.com SH Cho Dongyang Mirae University

More information

Embedding Passive and Active Components: PCB Design and Fabrication Process Variations

Embedding Passive and Active Components: PCB Design and Fabrication Process Variations Embedding Passive and Active Components: PCB Design and Fabrication Process Variations Vern Solberg Solberg Technical Consulting Saratoga, California USA Abstract Embedding components within the PC board

More information

Advanced Power Module Packaging for increased Operation Temperature and Power Density

Advanced Power Module Packaging for increased Operation Temperature and Power Density 15th International Power Electronics and Motion Control Conference, EPE-PEMC 2012 ECCE Europe, Novi Sad, Serbia Advanced Power Module Packaging for increased Operation Temperature and Power Density Peter

More information

9 rue Alfred Kastler - BP Nantes Cedex 3 - France Phone : +33 (0) website :

9 rue Alfred Kastler - BP Nantes Cedex 3 - France Phone : +33 (0) website : 9 rue Alfred Kastler - BP 10748-44307 Nantes Cedex 3 - France Phone : +33 (0) 240 180 916 - email : info@systemplus.fr - website : www.systemplus.fr February 2012 - Version 1 Written by: Romain FRAUX DISCLAIMER

More information

Advances in Printing nano Cu and Using Existing Cu Based Manufacturing Processes. Michael J. Carmody Chief Scientist, Intrinsiq Materials

Advances in Printing nano Cu and Using Existing Cu Based Manufacturing Processes. Michael J. Carmody Chief Scientist, Intrinsiq Materials Advances in Printing nano Cu and Using Existing Cu Based Manufacturing Processes Michael J. Carmody Chief Scientist, Intrinsiq Materials Why Use Copper? Lower Cost than Silver. Print on Numerous Substrates.

More information

RF System in Packages using Integrated Passive Devices

RF System in Packages using Integrated Passive Devices RF System in Packages using Integrated Passive Devices by Kai Liu, YongTaek Lee, HyunTai Kim, Gwang Kim, and Billy Ahn STATS ChipPAC 1711 W. Greentree Drive, Suite #117, Tempe, AZ 85284, USA Tel: 480-222-1722

More information

Long-term reliability of SiC devices. Power and Hybrid

Long-term reliability of SiC devices. Power and Hybrid Long-term reliability of SiC devices Power and Hybrid Rob Coleman Business Development and Applications Manager TT electronics, Power and Hybrid Roger Tall Product Specialist Charcroft Electronics Ltd

More information

HYPRES. Hypres MCM Process Design Rules 04/12/2016

HYPRES. Hypres MCM Process Design Rules 04/12/2016 HYPRES Hypres MCM Process Design Rules 04/12/2016 Direct all inquiries, questions, comments and suggestions concerning these design rules and/or HYPRES fabrication to: Daniel T. Yohannes Tel. (914) 592-1190

More information

Close supply chain collaboration enables easy implementation of chip embedded power SiP

Close supply chain collaboration enables easy implementation of chip embedded power SiP Close supply chain collaboration enables easy implementation of chip embedded power SiP Gerald Weidinger, R&D Project Leader, AT&S AT & S Austria Technologie & Systemtechnik Aktiengesellschaft Fabriksgasse13

More information

TSV Interposer Process Flow with IME 300mm Facilities

TSV Interposer Process Flow with IME 300mm Facilities TSV Interposer Process Flow with IME 300mm Facilities Property of Institute of Microelectronics (IME)-Singapore August 17, 2012 Outline 1. TSV interposer (TSI) cross sectional schematic TSI with BEOL,

More information

Making the most out of SiC. Alexander Streibel, Application Engineer

Making the most out of SiC. Alexander Streibel, Application Engineer Making the most out of SiC Alexander Streibel, Application Engineer WBG Power Conference December 5 th, Munich 2017 Content 1 Introduction to Danfoss Silicon Power 2 3 Danfoss Technologies DSP Activities

More information

Silicon Wafer Processing PAKAGING AND TEST

Silicon Wafer Processing PAKAGING AND TEST Silicon Wafer Processing PAKAGING AND TEST Parametrical test using test structures regularly distributed in the wafer Wafer die test marking defective dies dies separation die fixing (not marked as defective)

More information

IMPLEMENTATION OF A FULLY MOLDED FAN-OUT PACKAGING TECHNOLOGY

IMPLEMENTATION OF A FULLY MOLDED FAN-OUT PACKAGING TECHNOLOGY IMPLEMENTATION OF A FULLY MOLDED FAN-OUT PACKAGING TECHNOLOGY B. Rogers, C. Scanlan, and T. Olson Deca Technologies, Inc. Tempe, AZ USA boyd.rogers@decatechnologies.com ABSTRACT Fan-Out Wafer-Level Packaging

More information

Surface micromachining and Process flow part 1

Surface micromachining and Process flow part 1 Surface micromachining and Process flow part 1 Identify the basic steps of a generic surface micromachining process Identify the critical requirements needed to create a MEMS using surface micromachining

More information

Failure Modes in Wire bonded and Flip Chip Packages

Failure Modes in Wire bonded and Flip Chip Packages Failure Modes in Wire bonded and Flip Chip Packages Mumtaz Y. Bora Peregrine Semiconductor San Diego, Ca. 92121 mbora@psemi.com Abstract The growth of portable and wireless products is driving the miniaturization

More information

LOW TEMPERATURE PHOTONIC SINTERING FOR PRINTED ELECTRONICS. Dr. Saad Ahmed XENON Corporation November 19, 2015

LOW TEMPERATURE PHOTONIC SINTERING FOR PRINTED ELECTRONICS. Dr. Saad Ahmed XENON Corporation November 19, 2015 LOW TEMPERATURE PHOTONIC SINTERING FOR PRINTED ELECTRONICS Dr. Saad Ahmed XENON Corporation November 19, 2015 Topics Introduction to Pulsed Light Photonic sintering for Printed Electronics R&D Tools for

More information

High Efficiency UV LEDs Enabled by Next Generation Substrates. Whitepaper

High Efficiency UV LEDs Enabled by Next Generation Substrates. Whitepaper High Efficiency UV LEDs Enabled by Next Generation Substrates Whitepaper Introduction A primary industrial market for high power ultra-violet (UV) LED modules is curing equipment used for drying paints,

More information

FRAUNHOFER INSTITUTE FOR RELIABILITY AND MICROINTEGRATION IZM DEPARTMENT WAFER LEVEL SYSTEM INTEGRATION BERLIN

FRAUNHOFER INSTITUTE FOR RELIABILITY AND MICROINTEGRATION IZM DEPARTMENT WAFER LEVEL SYSTEM INTEGRATION BERLIN FRAUNHOFER INSTITUTE FOR RELIABILITY AND MICROINTEGRATION IZM DEPARTMENT WAFER LEVEL SYSTEM INTEGRATION BERLIN WAFER LEVEL SYSTEM INTEGRATION ELECTRONIC PACKAGING AT FRAUNHOFER IZM The Fraunhofer Institute

More information

Investigation of Rapid-Prototyping Methods for 3D Printed Power Electronic Module Development

Investigation of Rapid-Prototyping Methods for 3D Printed Power Electronic Module Development Investigation of Rapid-Prototyping Methods for 3D Printed Power Electronic Module Development Haotao Ke, Adam Morgan, Ronald Aman, Douglas C Hopkins, Fellow Laboratory for Packaging Research in Electronic

More information

Infineon CooliR²Die Power Module

Infineon CooliR²Die Power Module Infineon CooliR²Die Power Module Infineon power module integrating an IGBT and diode into innovative packaging for electric vehicles. The innovative packaging shrinks the power module and enables better

More information

PCB Technologies for LED Applications Application note

PCB Technologies for LED Applications Application note PCB Technologies for LED Applications Application note Abstract This application note provides a general survey of the various available Printed Circuit Board (PCB) technologies for use in LED applications.

More information

Silicon Interposers with Integrated Passive Devices: Ultra-Miniaturized Solution using 2.5D Packaging Platform

Silicon Interposers with Integrated Passive Devices: Ultra-Miniaturized Solution using 2.5D Packaging Platform Minapad 2014, May 21 22th, Grenoble; France Silicon Interposers with Integrated Passive Devices: Ultra-Miniaturized Solution using 2.5D Packaging Platform Stéphane Bellenger, Laëtitia Omnès, Jean-René

More information

Ultra Fine Pitch Bumping Using e-ni/au and Sn Lift-Off Processes

Ultra Fine Pitch Bumping Using e-ni/au and Sn Lift-Off Processes Ultra Fine Pitch Bumping Using e-ni/au and Sn Lift-Off Processes Andrew Strandjord, Thorsten Teutsch, and Jing Li Pac Tech USA Packaging Technologies, Inc. Santa Clara, CA USA 95050 Thomas Oppert, and

More information

LED Die Attach Selection Considerations

LED Die Attach Selection Considerations LED Die Attach Selection Considerations Gyan Dutt & Ravi Bhatkal Alpha, An Alent plc Company Abstract Die attach material plays a key role in performance and reliability of mid, high and super-high power

More information

Challenges and Solutions for Cost Effective Next Generation Advanced Packaging. H.P. Wirtz, Ph.D. MiNaPAD Conference, Grenoble April 2012

Challenges and Solutions for Cost Effective Next Generation Advanced Packaging. H.P. Wirtz, Ph.D. MiNaPAD Conference, Grenoble April 2012 Challenges and Solutions for Cost Effective Next Generation Advanced Packaging H.P. Wirtz, Ph.D. MiNaPAD Conference, Grenoble April 2012 Outline Next Generation Package Requirements ewlb (Fan-Out Wafer

More information

KGC SCIENTIFIC Making of a Chip

KGC SCIENTIFIC  Making of a Chip KGC SCIENTIFIC www.kgcscientific.com Making of a Chip FROM THE SAND TO THE PACKAGE, A DIAGRAM TO UNDERSTAND HOW CPU IS MADE? Sand CPU CHAIN ANALYSIS OF SEMICONDUCTOR Material for manufacturing process

More information

PCB Production Process HOW TO PRODUCE A PRINTED CIRCUIT BOARD

PCB Production Process HOW TO PRODUCE A PRINTED CIRCUIT BOARD NCAB Group Seminars PCB Production Process HOW TO PRODUCE A PRINTED CIRCUIT BOARD NCAB GROUP PCB Production Process Introduction to Multilayer PCBs 2 Introduction to multilayer PCB s What is a multilayer

More information

Welcome to Streamline Circuits Lunch & Learn. Design for Reliability & Cost Reduction of Advanced Rigid-Flex/Flex PCB Technology

Welcome to Streamline Circuits Lunch & Learn. Design for Reliability & Cost Reduction of Advanced Rigid-Flex/Flex PCB Technology Welcome to Streamline Circuits Lunch & Learn Design for Reliability & Cost Reduction of Advanced Rigid-Flex/Flex PCB Technology Accurate PCB data is critical to the tooling process. Here are some key items

More information

Introduction Conductors. Supply Planes. Dielectric. Vias PCB Manufacturing Process Electronic Assembly Manufacturing Process

Introduction Conductors. Supply Planes. Dielectric. Vias PCB Manufacturing Process Electronic Assembly Manufacturing Process PCBs/Overview Printed Circuit Boards (PCB) Introduction Conductors. Supply Planes. Dielectric. Vias PCB Manufacturing Process Electronic Assembly Manufacturing Process 29/09/2005 EE6471 (KR) 263 PCBs/Overview

More information

Optimization of optical performances in submicron silicon-on-insulator rib and strip waveguides by H 2 thermal annealing

Optimization of optical performances in submicron silicon-on-insulator rib and strip waveguides by H 2 thermal annealing I N S T I T U T D E R E C H E R C H E T E C H N O L O G I Q U E Optimization of optical performances in submicron silicon-on-insulator rib and strip waveguides by H thermal annealing Erwine Pargon 1, Cyril

More information

Optimisation of MWT cells and modules

Optimisation of MWT cells and modules Optimisation of MWT cells and modules Lowering the cost of foil-based back-contact PV MWT Workshop Shanghai 19 th May 2014 www.ecn.nl Why back-contact cells and modules? Limitations of H-pattern cells

More information

Copyright 2009 Year IEEE. Reprinted from 2009 Electronic Components and Technology Conference. Such permission of the IEEE does not in any way imply

Copyright 2009 Year IEEE. Reprinted from 2009 Electronic Components and Technology Conference. Such permission of the IEEE does not in any way imply Copyright 2009 Year IEEE. Reprinted from 2009 Electronic Components and Technology Conference. Such permission of the IEEE does not in any way imply IEEE endorsement of any of Institute of Microelectronics

More information

System-in-Package (SiP) on Wafer Level, Enabled by Fan-Out WLP (ewlb)

System-in-Package (SiP) on Wafer Level, Enabled by Fan-Out WLP (ewlb) System-in-Package (SiP) on Wafer Level, Enabled by Fan-Out WLP (ewlb) Steffen Kröhnert, José Campos, Eoin O Toole NANIUM S.A., Vila do Conde, Portugal Outline Short Company Overview NANIUM Introduction

More information

Analog Devices ADSP KS-160 SHARC Digital Signal Processor

Analog Devices ADSP KS-160 SHARC Digital Signal Processor Construction Analysis Analog Devices ADSP-21062-KS-160 SHARC Digital Signal Processor Report Number: SCA 9712-575 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale,

More information

Fraunhofer IZM Berlin

Fraunhofer IZM Berlin Fraunhofer IZM Berlin Advanced Packaging for High Power LEDs Dr. Rafael Jordan SIIT Agenda Gluing Soldering Sintering Transient Liquid Phase Bonding/Soldering Thermo Compression Junction Temperature Measurements

More information

microdice System for Separation of SiC Wafer Using Thermal Laser Separation

microdice System for Separation of SiC Wafer Using Thermal Laser Separation microdice System for Separation of SiC Wafer Using Thermal Laser Separation - System Integration Technologies Fraunhofer ENAS - (Ronny Neubert, 3D-Micromac AG) 3D-Micromac At a Glance Manufacturer and

More information

Simulation of Embedded Components in PCB Environment and Verification of Board Reliability

Simulation of Embedded Components in PCB Environment and Verification of Board Reliability Simulation of Embedded Components in PCB Environment and Verification of Board Reliability J. Stahr, M. Morianz AT&S Leoben, Austria M. Brizoux, A. Grivon, W. Maia Thales Global Services Meudon-la-Forêt,

More information

PRELIMINARY. HTHA (Z1-Foil)

PRELIMINARY. HTHA (Z1-Foil) Ultra High Precision Z1-Foil Technology Chip Resistor for Hybrid Circuits with Aluminum Wire Bonding for High Temperature Applications up to +240 C, Long Term Stability of 0.05%, TCR to ± 1ppm/ C INTRODUCTION

More information

HTHA (Z1-Foil) Vishay Foil Resistors

HTHA (Z1-Foil) Vishay Foil Resistors Ultra High Precision Z1-Foil Technology Chip Resistor for Hybrid Circuits with Aluminum Wire Bonding for High Temperature Applications up to +240 C, Long Term Stability of 0.05%, TCR to ± 1ppm/ C INTRODUCTION

More information

High-Temperature-Resistant Interconnections Formed by Using Nickel Micro-plating and Ni Nano-particles for Power Devices

High-Temperature-Resistant Interconnections Formed by Using Nickel Micro-plating and Ni Nano-particles for Power Devices Kato et al.: High-Temperature-Resistant Interconnections (1/6) [Technical Paper] High-Temperature-Resistant Interconnections Formed by Using Nickel Micro-plating and Ni Nano-particles for Power Devices

More information

Warpage Mechanism of Thin Embedded LSI Packages

Warpage Mechanism of Thin Embedded LSI Packages Nakashima et al.: Warpage Mechanism of Thin Embedded LSI Packages (1/10) [Technical Paper] Warpage Mechanism of Thin Embedded LSI Packages Yoshiki Nakashima*, Katsumi Kikuchi*, Kentaro Mori*, Daisuke Ohshima**,

More information

Device Attachment Methods and Wirebonding Notes for RT/duroid and RO4000 Series High Frequency Laminates

Device Attachment Methods and Wirebonding Notes for RT/duroid and RO4000 Series High Frequency Laminates Device Attachment Methods and Wirebonding Notes for RT/duroid and RO4000 Series High Frequency Laminates Volume production of microwave circuit assemblies requires fast, reliable and efficient methods

More information

Inkjet-Deposited Interconnections for Electronic Packaging

Inkjet-Deposited Interconnections for Electronic Packaging Inkjet-Deposited Interconnections for Electronic Packaging Matti Mäntysalo and Pauliina Mansikkamäki, Tampere University of Technology, Korkeakoulunkatu 3, P.O.Box 692 FI-33101, Tampere, Finland, matti.mantysalo@tut.fi,

More information

CONDUCTIVE ADHESIVES FOR LOW-STRESS INTERCONNECTION OF THIN BACK-CONTACT SOLAR CELLS

CONDUCTIVE ADHESIVES FOR LOW-STRESS INTERCONNECTION OF THIN BACK-CONTACT SOLAR CELLS ECN-RX--02-027 CONDUCTIVE ADHESIVES FOR LOW-STRESS INTERCONNECTION OF THIN BACK-CONTACT SOLAR CELLS D.W.K. Eikelboom J.H. Bultman A. Schönecker M.H.H. Meuwissen * M.A.J.C. van den Nieuwenhof * D.L. Meier

More information

Intel Pentium Processor W/MMX

Intel Pentium Processor W/MMX Construction Analysis Intel Pentium Processor W/MMX Report Number: SCA 9706-540 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax:

More information

SEMI MEMS Tech Seminar (Sept 26, Cornaredo, Italy)

SEMI MEMS Tech Seminar (Sept 26, Cornaredo, Italy) SEMI MEMS Tech Seminar (Sept 26, 2013 - Cornaredo, Italy) Opportunities of Wafer Level Embedded Technologies for MEMS Devices T. Braun ( 1 ), K.-F. Becker ( 1 ), R. Kahle ( 2 ), V. Bader ( 1 ), S. Voges

More information

Die Attach of Power Devices Using Silver Sintering - Bonding Process Optimization and Characterization

Die Attach of Power Devices Using Silver Sintering - Bonding Process Optimization and Characterization Die Attach of Power Devices Using Silver Sintering - Bonding Process Optimization and Characterization Cyril Buttay, Amandine Masson, Jianfeng Li, Mark Johnson, Mihai Lazar, Christophe Raynaud, Hervé Morel

More information

Flip Chip - Integrated In A Standard SMT Process

Flip Chip - Integrated In A Standard SMT Process Flip Chip - Integrated In A Standard SMT Process By Wilhelm Prinz von Hessen, Universal Instruments Corporation, Binghamton, NY This paper reviews the implementation of a flip chip product in a typical

More information

Interconnection Reliability of HDI Printed Wiring Boards

Interconnection Reliability of HDI Printed Wiring Boards Presented in the ECWC 10 Conference at IPC Printed Circuits Expo, SMEMA Council APEX and Designers Summit 05 Interconnection Reliability of HDI Printed Wiring Boards Tatsuo Suzuki Nec Toppan Circuit Solutions,

More information

Laser Spike Annealing for sub-20nm Logic Devices

Laser Spike Annealing for sub-20nm Logic Devices Laser Spike Annealing for sub-20nm Logic Devices Jeff Hebb, Ph.D. July 10, 2014 1 NCCAVS Junction Technology Group Semicon West Meeting July 10, 2014 Outline Introduction Pattern Loading Effects LSA Applications

More information

PRELIMINARY. FRSH Series (0603, 0805, 1206, 1506, 2010, 2512) (Z1-Foil) Vishay Foil Resistors

PRELIMINARY. FRSH Series (0603, 0805, 1206, 1506, 2010, 2512) (Z1-Foil) Vishay Foil Resistors Ultra High Precision Foil Wraparound Surface Mount Chip Resistor with Extended Pads for High Power/High Temperature Applications up to +225 C, Load Life Stability of 0.05%,TCR to ± 1ppm/ C INTRODUCTION

More information

Chemical Mechanical Planarization STACK TRECK. SPCC 2017 Viorel Balan

Chemical Mechanical Planarization STACK TRECK. SPCC 2017 Viorel Balan Chemical Mechanical Planarization STACK TRECK Viorel.balan@cea.fr > Red 50 is years The of New Moore s Blue Law Stacking Is The New Scaling 2 Lithography Enables Scaling / CMP Enables Stacking Building

More information

Low Temperature Co-fired Ceramics (LTCC) Multi-layer Module Boards

Low Temperature Co-fired Ceramics (LTCC) Multi-layer Module Boards Low Temperature Co-fired Ceramics () Multi-layer Module Boards Example: Automotive Application Example: Communication Application Murata's Low Temperature Co-fired Ceramics offer highly integrated substrates

More information

Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs

Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs Joohan Lee, Joseph J. Griffiths, and James Cordingley GSI Group Inc. 60 Fordham Rd. Wilmington, MA 01887 jlee@gsig.com

More information

3D technologies for integration of MEMS

3D technologies for integration of MEMS 3D technologies for integration of MEMS, Fraunhofer Institute for Electronic Nano Systems Folie 1 Outlook Introduction 3D Processes Process integration Characterization Sample Applications Conclusion Folie

More information

Technological EUDET ECAL Prototype. Marc Anduze CALICE Meeting KOBE 10/05/07

Technological EUDET ECAL Prototype. Marc Anduze CALICE Meeting KOBE 10/05/07 Mechanical lr&d for Technological EUDET ECAL Prototype Why this prototype? ECAL Prototype Next step after the physics prototype and before the module 0 To study full scale technological solutions which

More information

Lead-Free Solder Bump Technologies for Flip-Chip Packaging Applications

Lead-Free Solder Bump Technologies for Flip-Chip Packaging Applications Lead-Free Solder Bump Technologies for Flip-Chip Packaging Applications Zaheed S. Karim 1 and Jim Martin 2 1 Advanced Interconnect Technology Ltd. 1901 Sunley Centre, 9 Wing Yin Street, Tsuen Wan, Hong

More information

Infineon FS820R08A6P2B HybridPACK Drive IGBT Module The newest HybridPACK Drive power module from Infineon with EDT2 IGBT technology

Infineon FS820R08A6P2B HybridPACK Drive IGBT Module The newest HybridPACK Drive power module from Infineon with EDT2 IGBT technology Infineon FS820R08A6P2B HybridPACK Drive IGBT Module The newest HybridPACK Drive power module from Infineon with EDT2 IGBT technology With the push from various energy-saving applications, the overall IGBT

More information

Thin Wafers Bonding & Processing

Thin Wafers Bonding & Processing Thin Wafers Bonding & Processing A market perspective 2012 Why New Handling Technologies Consumer electronics is today a big driver for smaller, higher performing & lower cost device configurations. These

More information

Packaging Technology Platform for Next Generation High Power IGBT Modules

Packaging Technology Platform for Next Generation High Power IGBT Modules Packaging Technology Platform for Next Generation High Power IGBT Modules Samuel Hartmann, Venkatesh Sivasubramaniam, David Guillon, David E. Hajas, Rolf Schütz, Dominik Trüssel, Charalampos Papadopoulos

More information

Ultra thin chips for miniaturized products

Ultra thin chips for miniaturized products Ultra thin chips for miniaturized products Authors: Erik Jung, A. Ostmann (*),D. Wojakowski, C. Landesberger ( + ), R. Aschenbrenner, H. Reichl (*) FhG-IZM, TU Berlin (*) Gustav-Meyer-Allee 25, Berlin

More information

Mobile Device Passive Integration from Wafer Process

Mobile Device Passive Integration from Wafer Process Mobile Device Passive Integration from Wafer Process Kai Liu, YongTaek Lee, HyunTai Kim, and MaPhooPwint Hlaing STATS ChipPAC, Inc. 1711 West Greentree, Suite 117, Tempe, Arizona 85284, USA Tel: 48-222-17

More information

3D technologies for More Efficient Product Development

3D technologies for More Efficient Product Development 3D technologies for More Efficient Product Development H. Ribot, D. Bloch, S. Cheramy, Y. Lamy, P. Leduc, T. Signamarcheix, G. Simon Semicon Europa, TechArena II, 09 October 2013 Photonics in Product development:

More information

System in Package: Identified Technology Needs from the 2004 inemi Roadmap

System in Package: Identified Technology Needs from the 2004 inemi Roadmap System in Package: Identified Technology Needs from the 2004 inemi Roadmap James Mark Bird Amkor Technology Inc System in package (SiP) technology has grown significantly in the past several years. It

More information

Optimizing the Insulated Metal Substrate Application with Proper Material Selection and Circuit Fabrication

Optimizing the Insulated Metal Substrate Application with Proper Material Selection and Circuit Fabrication Abstract Optimizing the Insulated Metal Substrate Application with Proper Material Selection and Circuit Fabrication Dave Sommervold, Chris Parker, Steve Taylor, Garry Wexler. The Bergquist Company Prescott,

More information

Toyota Prius 4 PCU Power Modules

Toyota Prius 4 PCU Power Modules Toyota Prius 4 PCU Power Modules Toyota Prius 4 PCU modules integrate IGBT and freewheeling diodes onto innovative double side cooling packaging for hybrid electric vehicles, allowing better thermal dissipation,

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time Etching Dry etch (anisotropic) SiO

More information

Precision Engineered Parts

Precision Engineered Parts Precision Engineered Parts Photoetching Laser Cutting Forming Finishing Thin Metal Parts Flexible Circuits EMI Shielding Gaskets www.tech-etch.com PHOTOETCHING Tech-Etch specializes in the manufacture

More information

SITV s Stack-ups and Loss. Add a subtitle

SITV s Stack-ups and Loss. Add a subtitle SITV s Stack-ups and Loss Add a subtitle SITV Stack-ups General Signal Integrity TV s are often used to characterize material performance There are a variety of TV s that use differing approaches and measurement

More information

Test Flow for Advanced Packages (2.5D/SLIM/3D)

Test Flow for Advanced Packages (2.5D/SLIM/3D) 1 Test Flow for Advanced Packages (2.5D/SLIM/3D) Gerard John Amkor Technology Inc. Gerard.John@amkor.com 2045 East Innovation Circle, Tempe, AZ 85284, USA Phone: (480) 821-5000 ADVANCED PACKAGE TEST FLOW

More information

Thales vision & needs in advanced packaging for high end applications

Thales vision & needs in advanced packaging for high end applications Thales vision & needs in advanced packaging for high end applications M. Brizoux, A. Lecavelier Thales Global Services / Group Industry Chemnitzer Seminar June 23 th -24 th, 2015 Fraunhofer ENAS - Packaging

More information

Low Cost Flip Chip Bumping

Low Cost Flip Chip Bumping Low Cost Flip Bumping Thomas Oppert, Thorsten Teutsch, Elke Zakel Pac Tech Packaging Technologies GmbH Am Schlangenhorst 15 17 D-14641 Nauen, Germany Phone: +49 (0)3321/4495 0 Fax: +49 (0)3321/4495 23

More information

Encapsulation Selection, Characterization and Reliability for Fine Pitch BGA (fpbga )

Encapsulation Selection, Characterization and Reliability for Fine Pitch BGA (fpbga ) Encapsulation Selection, Characterization and Reliability for Fine Pitch BGA (fpbga ) Henry M.W. Sze, Marc Papageorge ASAT Limited 14th Floor, QPL Industrial Building, 138 Texaco Road, Tseun Wan, Hong

More information

HBLED packaging is becoming one of the new, high

HBLED packaging is becoming one of the new, high Ag plating in HBLED packaging improves reflectivity and lowers costs JONATHAN HARRIS, President, CMC Laboratories, Inc., Tempe, AZ Various types of Ag plating technology along with the advantages and limitations

More information

Enabling Technology in Thin Wafer Dicing

Enabling Technology in Thin Wafer Dicing Enabling Technology in Thin Wafer Dicing Jeroen van Borkulo, Rogier Evertsen, Rene Hendriks, ALSI, platinawerf 2G, 6641TL Beuningen Netherlands Abstract Driven by IC packaging and performance requirements,

More information

ALTERNATIVES TO SOLDER IN INTERCONNECT, PACKAGING, AND ASSEMBLY

ALTERNATIVES TO SOLDER IN INTERCONNECT, PACKAGING, AND ASSEMBLY ALTERNATIVES TO SOLDER IN INTERCONNECT, PACKAGING, AND ASSEMBLY Herbert J. Neuhaus, Ph.D., and Charles E. Bauer, Ph.D. TechLead Corporation Portland, OR, USA herb.neuhaus@techleadcorp.com ABSTRACT Solder

More information

PEC (Printed Electronic Circuit) process for LED interconnection

PEC (Printed Electronic Circuit) process for LED interconnection PEC (Printed Electronic Circuit) process for LED interconnection Higher wattage LED s/ power components or their placement in higher densities, requires a larger dissipation of heat in a more effective

More information

White Paper Quality and Reliability Challenges for Package on Package. By Craig Hillman and Randy Kong

White Paper Quality and Reliability Challenges for Package on Package. By Craig Hillman and Randy Kong White Paper Quality and Reliability Challenges for Package on Package By Craig Hillman and Randy Kong Background Semiconductor technology advances have been fulfilling Moore s law for many decades. However,

More information

Dallas Semicoductor DS80C320 Microcontroller

Dallas Semicoductor DS80C320 Microcontroller Construction Analysis Dallas Semicoductor DS80C320 Microcontroller Report Number: SCA 9702-525 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone:

More information

Welcome to the KEMET Ceramic Capacitor Flex Crack Mitigation product training module. This module will review sources of stress in surface mount

Welcome to the KEMET Ceramic Capacitor Flex Crack Mitigation product training module. This module will review sources of stress in surface mount 1 Welcome to the KEMET Ceramic Capacitor Flex Crack Mitigation product training module. This module will review sources of stress in surface mount multilayer ceramic capacitors, provide board layout recommendations,

More information

Rockwell R RF to IF Down Converter

Rockwell R RF to IF Down Converter Construction Analysis Rockwell R6732-13 RF to IF Down Converter Report Number: SCA 9709-552 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780

More information

c/bach, 2-B Pol. Ind Foinvasa Montcada i Reixac (Barcelona) SPAIN Tel FAX

c/bach, 2-B Pol. Ind Foinvasa Montcada i Reixac (Barcelona) SPAIN Tel FAX 1- What is 2- How does it work? 3- How do we make it? 4- Applications 5- Processing? WHAT IS? Thick aluminium based substrate, cladded in ED copper foil. Designed for an effective thermal dissipation and

More information

TGV and Integrated Electronics

TGV and Integrated Electronics TGV and Integrated Electronics Shin Takahashi ASAHI GLASS CO., LTD. 1 Ambient Intelligence Green Energy/Environment Smart Factory Smart Mobility Smart Mobile Devices Bio/Medical Security/Biometrics 2 Glass

More information

Q&A ThinPAK 8x8. New leadless SMD package for CoolMOS. May 2010 V 1.1

Q&A ThinPAK 8x8. New leadless SMD package for CoolMOS. May 2010 V 1.1 Q&A ThinPAK 8x8 New leadless SMD package for CoolMOS May 2010 V 1.1 Contents Package outline Manufacturing Package quality Materials and packing Electrical and thermal characteristics General 2010-01-14

More information

Embedded Cooling Solutions for 3D Packaging

Embedded Cooling Solutions for 3D Packaging IME roprietary ERC 12 roject roposal Embedded Cooling Solutions for 3D ackaging 15 th August 2012 age 1 Technology & ower Dissipation Trends IME roprietary Cannot continue based on Moore s law scaling

More information

Passive components : 5 years failure analysis feedback From all markets

Passive components : 5 years failure analysis feedback From all markets 2 nd SPCD 12-14 October 2016 Passive components : 5 years failure analysis feedback From all markets Eric ZAIA (Material Engineer) Béatrice MOREAU (Passive components & PCB dpt. Manager) SUMMARY 1 Introduction

More information

Jacques Matteau. NanoBond Assembly: A Rapid, Room Temperature Soldering Process. Global Sales Manager. indium.us/f018

Jacques Matteau. NanoBond Assembly: A Rapid, Room Temperature Soldering Process. Global Sales Manager. indium.us/f018 Jacques Matteau Global Sales Manager NanoBond Assembly: A Rapid, Room Temperature Soldering Process jmatteau@indium.com indium.us/f014 indium.us/f018 Terminology A few key terms NanoFoil is the heat source

More information

Abstract. Introduction

Abstract. Introduction Accelerating Silicon Carbide Power Electronics Devices into High Volume Manufacturing with Mechanical Dicing System By Meng Lee, Director, Product Marketing and Jojo Daof, Senior Process Engineer Abstract

More information

Radiation Tolerant Isolation Technology

Radiation Tolerant Isolation Technology Radiation Tolerant Isolation Technology Background The following contains a brief description of isolation technologies used for radiation hardened integrated circuits. The technologies mentioned are junction

More information

FRENIC4800VM5, a Water-Cooled High Capacity, High Voltage Inverter

FRENIC4800VM5, a Water-Cooled High Capacity, High Voltage Inverter FRENIC4800VM5, a Water-Cooled Capacity, Voltage Inverter MOKUTANI Masafumi HANAZAWA Masahiko ADACHI Akio ABSTRACT -voltage inverters used to drive main rolling mills for steel and non-ferrous metal materials,

More information

TSV CHIP STACKING MEETS PRODUCTIVITY

TSV CHIP STACKING MEETS PRODUCTIVITY TSV CHIP STACKING MEETS PRODUCTIVITY EUROPEAN 3D TSV SUMMIT 22-23.1.2013 GRENOBLE HANNES KOSTNER DIRECTOR R&D BESI AUSTRIA OVERVIEW Flip Chip Packaging Evolution The Simple World of C4 New Flip Chip Demands

More information