Device Fabrication: CVD and Dielectric Thin Film

Size: px
Start display at page:

Download "Device Fabrication: CVD and Dielectric Thin Film"

Transcription

1 Device Fabrication: CVD and Dielectric Thin Film 1

2 Objectives Identify at least four CVD applications Describe CVD process sequence List the two deposition regimes and describe their relation to temperature List two dielectric thin films Name the two most commonly used silicon precursors for dielectric CVD 2

3 CVD Oxide vs. Grown Oxide SiO 2 SiO 2 Si Si Si Grown film Bare silicon Deposited film 3

4 CVD Chemical Vapor Deposition Chemical gases or vapors react on the surface of solid, produce solid byproduct on the surface in the form of thin film. Other byproducts are volatile and leave the surface. 4

5 CVD Applications FILMS PRECURSORS LPCVD SiH 4, O 2 SiO 2 (glass) PECVD SiH 4, N 2 O Dielectrics PECVD Si(OC 2 H 5 ) 4 (TEOS), O 2 LPCVD TEOS APCVD&SACVD TM Oxynitride SiH 4, N 2 O, N 2, NH 3 TEOS, O 3 (ozone) PECVD SiH 4, N 2, NH 3 Si 3 N 4 LPCVD SiH 4, N 2, NH 3 LPCVD C 8 H 22 N 2 Si (BTBAS) W (Tungsten) WF 6 (Tungsten hexafluoride), SiH 4, H 2 WSi 2 WF 6 (Tungsten hexafluoride), SiH 4, H 2 Conductors TiN Ti[N (CH 3 ) 2] 4 (TDMAT) Ti TiCl 4 Cu 5

6 CVD Gas or vapor phase precursors are introduced into the reactor Precursors across the boundary layer and reach the surface Precursors adsorb on the substrate surface Adsorbed precursors migrate on the substrate surface Chemical reaction on the substrate surface Solid byproducts form nuclei on the substrate surface Nuclei grow into islands Islands merge into the continuous thin film Other gaseous byproducts desorb from the substrate surface Gaseous byproducts diffuse across the boundary layer Gaseous byproducts flow out of the reactor. 6

7 Precursors Showerhead Forced convection region Byproducts Wafer Reactants Boundary layer Pedestal 7

8 Deposition Process (a) (b) Precursor arrives surface Migrate on the surface (c) (d) React on the surface Nucleation: Island formation 8

9 Deposition Process (e) Islands grow Islands grow, cross-section (f) (g) Islands merge Continuous thin film (h) 9

10 CVD Processes (1)Atmospheric Pressure CVD (APCVD) (2)Low Pressure CVD (LPCVD) (3)Plasma-Enhanced CVD (PECVD) 10

11 (1) Atmospheric Pressure CVD CVD process taking place at atmospheric pressure APCVD process has been used to deposit silicon oxide and silicon nitride Conveyor belt system with in-situ belt clean 11

12 APCVD Reactor N 2 Process Gas N 2 Wafers Wafers Heater Belt Clean Station Exhaust Conveyor Belt 12

13 (2) LPCVD Longer MFP Good step coverage & uniformity Vertical loading of wafer Fewer particles and increased productivity Less dependence on gas flow Vertical and horizontal furnace 13

14 Horizontal Conduction-Convectionheated LPCVD Adaptation of horizontal tube furnace Low pressure: from 0.25 to 2 Torr Used mainly for polysilicon, silicon dioxide and silicon nitride films Can process 200 wafers per batch 14

15 LPCVD System Pressure Sensor Wafers Loading Door Heating Coils To Pump Process Gas Inlet Temperature Wafer Boat Center Zone Flat Zone Quartz Tube Distance 15

16 (3) PECVD Developed when silicon nitride replaced silicon dioxide for passivation layer. High deposition rate at relatively low temperature. RF induces plasma field in deposition gas Stress control by RF Chamber plasma clean. 16

17 Plasma Enhanced CVD System Process gases Process chamber Wafer Plasma RF power By-products to the pump Heated plate 17

18 Step Coverage A measurement of the deposited film reproducing the slope of a step on the substrate surface One of the most important specifications Sidewall step coverage Bottom step coverage Conformality Overhang 18

19 Step Coverage and Conformity CVD thin film c a Structure h d b Substrate w Sidewall step coverage = b/a Bottom step coverage = d/a Conformity = b/c Overhang = (c - b)/b Aspect ratio = h/w 19

20 Factors Affect Step Coverage (1) Arriving angle of precursor (2) Surface mobility of adsorbed precursor 20

21 Arriving Angles 180 B A C

22 Arriving Angle Corner A: 270, corner C: 90 More precursors at corner A More deposition Form the overhang Overhangcan cause voids or keyholes 22

23 Void Formation Process Overhang Metal Dielectric Metal Dielectric Metal Void Dielectric 23

24 Control of Arriving Angle Changing pressure Tapering opening 24

25 Step Coverage, Pressure and Surface Mobility APCVD No mobility LPCVD No mobility High mobility 25

26 Arriving angles for tapered and straight contact holes Larger arriving angle Smaller arriving angle Nitride 26

27 Deposition/Etchback/Deposition Dep. Al Cu Etch Al Cu Dep. Al Cu 27

28 Conformal film deposition and gap fill (I) 28

29 Conformal film deposition and gap fill (II) 29

30 Conformal film deposition and gap fill (III) 30

31 Gap Fill of high-density plasma CVD Metal Metal Metal Metal Metal Metal Metal Metal Metal 31

32 Surface Adsorption Determine precursors surface mobility Affect step coverage and gap fill Physical adsorption (physisorption) Chemical adsorption (chemisorption) 32

33 Chemisorption Actual chemical bonds between surface atom and the adsorbed precursor molecule Bonding energy usually exceeding 2 ev Lowsurface mobility Ion bombardment with10 to 20 ev energy in PECVD processes can cause some surface migration of chemisorbed precursors 33

34 Physisorption Weak bond between surface and precursor Bonding energy usually less than 0.5 ev Hydrogen bonding Van der Waals forces Ion bombardment and thermal energy at 400 C can cause migration of physisorbed precursors Highsurface mobility 34

35 Bonding energy Relationship of bonding energy to chemical and physical adsorption Distance from surface Physisorbed precursor Chemisorbed precursor Substrate Surface 35

36 CVD Precursor: Silane Dielectric CVD PECVD passivation dielectric depositions Dielectric anti reflective coating (DARC) High density plasma CVD oxide processes LPCVD poly-si and silicon nitride Metal CVD W CVD process for nucleation step Silicon source for WSi x deposition 36

37 Dielectric CVD Precursor: Silane Pyrophoric (ignite itself), explosive, and toxic Open silane line without thoroughly purging can cause fire or minor explosion and dust line 37

38 Structure of Silane Molecule H H H Si H Si H H H H 38

39 CVD Precursor Adsorption: Silane Silane molecule is perfectly symmetrical Neither chemisorb nor physisorb Fragments of silane, SiH 3, SiH 2, or SiH, can easily form chemical bonds with surface 39

40 Sticking Coefficient The probability that precursor atom forms chemical bond with surface atom in one collision Can be calculated by comparing the calculated deposition rate with 100% sticking coefficient and the measured actual deposition rate 40

41 Sticking Coefficient The lower the sticking coefficient, the higher the surface mobility dissociate Precursors Sticking Coefficient SiH4 SiH 3 3x10-4 to 3x to 0.08 SiH SiH 0.94 Silane molecule is perfectly symmetrical Neither chemisorb nor physisorb Low surface mobility having overhangs at the step corners and having poor step coverage TEOS 10-3 WF TEOS: tetra-ethyl-oxy-silane, Si(OC 2 H 5 ) 4 41

42 CVD Kinetics Chemical Reaction Rate equation is: C.R. = A exp (-E a /kt) A: a constant E a : activation energy K: Boltzmann constant T: substrate temperature The lower the activation energy E a, the easier the chemical reaction. Precursor E a Byproduct 42

43 Deposition Regimes Mass-transportlimited regime Surface-reactionlimited regime ln D.R. Slope = E a /k Gas-phase-nucleation regime 1/T At lower temperature 43

44 Surface-Reaction-Limited Regime Chemical reaction rate can t match precursor diffusion and adsorption rates; precursors pile up on the substrate surface and wait their turn to react. D.R. = C.R. [B] [C] [] Deposition rate is very sensitive to temperature 44

45 Mass-Transport-Limited Regime When the surface chemical reaction rate is high enough, the chemical precursors react immediately when they adsorb on the substrate surface. Deposition rate = D dn/dx [B] [C] [] D: diffusion rate of the precursors in the boundary layer dn/dx: the gradient of the precursor concentration in the boundary layer [B] [C], etc., are precursor concentrations on the substrate surface Deposition rate is insensitive to temperature Mainly controlled by gas flow rates 45

46 Relation of deposition rate and temperature Deposition rate Deposition rate sensitive to temperature Deposition rate insensitive to temperature Temperature 46

47 CVD Reactor Deposition Regime Most single wafer process reactors are designed in mass-transport-limited regime It is easier to control the gas flow rate Plasma or unstable chemicals such as ozone are used to achieve mass-transport-limitedregime at relatively low temperature 47

48 Dielectric CVD, Oxide and Nitride Oxide (SiO 2 ) Nitride (Si 3 N 4 ) Similar dielectric strength, > 1x10 7 V/cm Similar dielectric strength, > 1x107 V/cm Lower dielectric constant, = 3.9 Not a good barrier for moisture and mobile ion (Na + ) Transparent to UV Higher dielectric constant, = 7.0 Good barrier for moisture and mobile ion (Na + ) Conventional nitride opaque to UV Can be doped with P and B 48

49 Passivation Nitride and oxide Nitride is very good barrier layer, oxide help nitride stick with metal Silane process NH 3, N 2 and nitrogen precursors, N 2 O as oxygen precursor In-situ CVD process 49

50 Dielectric Thin Film Characteristics Refractive index Thickness Uniformity Stress Particles 50

51 Refractive Index Refractive index, n = Speed of light in vacuum Speed of light in the film 51

52 Refractive index and refractive angle Incident light Vacuum n 1 n 1 sin 1 = n 2 sin 2 Film n 2 Refractive light For SiO 2, n=1.46 For Si 3 N 4, n=

53 Film Information from R.I. Refractive index Oxygen rich Nitrogen rich 4.0 Polysilicon Oxygen rich Nitrogen rich 2.01 Si 3 N 4 Silicon rich Oxynitride Oxygen rich 1.46 SiO 2 Nitrogen rich Silicon rich 53

54 For the silicon- or nitrogen-rich oxide, the refractive index will be higher than the stoichiometric value of. 1.46, but it will be lower than that value when it is oxygen rich. For nitride, silicon-rich film will have a higher R.I. than 2.01 and nitrogen- or oxygen-rich films will have a lower value. 54

55 Ellipsometry system Linearly Polarized Incident Light Elliptically Polarized Reflected Light s p n 1, k 1, t 1 n 2, k 2 When a beam of light is reflected from the film surface, the polarization status changes. By comparing this, one can get information about the refractive index and thickness of the dielectric thin film. 55

56 The change in polarization of the and s components of a light beam upon reflected is determined. The fundamental equation of ellipsometry: =R p /R s =tan e I : a complex amplitude reflection ratio R p and R s : Fresnel reflection coefficient 56

57 Illustration of Prism Coupler Thin film Laser light Photo detector Substrate Coupling head 57

58 Reflected Light Intensity vs. Incidence Angle Reflected Intensity Modes

59 Metricon Model 2010 Prism Coupler 59

60 Comparison of the Two Methods Ellipsometry Prism coupler Need know rough film thickness before hand Can measure thickness if R.I. is know Need certain thickness of the film, > 3000 Å Can measure thickness if film thick enough to support enough modes 60

61 Thickness Measurement One of the most important measurements for dielectric thin film processes. Determines Film deposition rate Wet etch rate Shrinkage 61

62 Reflection light rays and phase difference Incident light 1 2 Human eye or photodetector t Dielectric film, n( ) Substrate =2tn( )/cos t: thin-film thickness n( ): thin-film refractive index : the angle of incidence 62

63 Dielectric Thin Film Thickness Measurement Different thickness has different color Tilting wafer also changes the color 63

64 Question If you see some beautiful color rings on a wafer with a CVD dielectric layer, what is your conclusion? 64

65 Answer Color change indicates the dielectric thin film thickness change, thus we know the film with the color rings must have problem with thickness uniformity, which is most likely caused by a non-uniform thin film deposition process. 65

66 Question Why does the thin film color change when one look at the wafer from different angle? 66

67 Answer When one looking at wafer from a different angle, phase shift will change, thus wavelength for constructive interference will change, which causes color change It is important to hold the wafer straight when using the color chart to measure film thickness Tilt wafer makes the film thickness thicker than it actually is 67

68 Deposition Rate (D. R.) Deposition Rate = Thickness of deposited film Deposition time 68

69 Wet Etch Rate Wet Etch Rate = Thickness change after etch Etch time Wet etch rate ratio = Thickness change of the CVD film Thickness change of the thermal oxide film 69

70 70 Uniformity Multi-point measurement Definition Average: Standard deviation: Standard deviation non-uniformity: /x N x x x x x N ) ( ) ( ) ( ) ( N x x x x x x x x N

71 Stress Mismatch between different materials Two kinds of stresses, intrinsic and extrinsic Intrinsic stress develops during the film nucleation and growth process. The extrinsic stress results from differences in the coefficients of thermal expansion Tensile stress: cracking film if too high Compressive stress: hillock if too strong 71

72 Definitions of compressive and tensile stress Bare Wafer After Thin Film Deposition Substrate Substrate Compressive Stress Negative curvature Substrate Tensile Stress Positive curvature 72

73 Tensile stress Compressive stress 73

74 Illustration of Thermal Stress SiO 2 Si At 400 C L SiO 2 Si At Room Temperature L = T L L L: change of the dimension T: change of the temperature : coefficient of thermal expansion 74

75 Coefficients of Thermal Expansion (SiO 2 ) = C 1 (Si) = C 1 (Si 3 N 4 ) = C 1 (W) = C 1 (Al) = C 1 75

76 Stress Measurement 2 E h 1 1 ( 1 6t R2 R1 ) : film stress (Pa) E: Young s modulus of the substrate (Pa) v: Poisson s ratio of the substrate h: substrate thickness ( m) t: film thickness ( m) R 1 : wafer curvature radius before deposition ( m) R 2 : wafer radius curvature after deposition ( m) Wafer curvature change before and after thin film deposition Mpa=10 6 Pa 1 MPa=10 7 dynes/cm 2 Laser beam scans wafer surface, reflection light indicates the wafer curvature 76

77 Schematic of the laser scanning stress measurement tool Laser Mirror Detector 77

78 Dielectric CVD Processes Thermal Silane CVD Process PECVD Silane Processes High Density Plasma CVD 78

79 Thermal Silane CVD Process Silane has been commonly used for silicon dioxide deposition with both APCVD and LPCVD process heat SiH O 2 SiO H 2 O APCVD normally uses diluted silane (3% in nitrogen) and LPCVD uses pure silane Not commonly used in the advanced fab 79

80 PECVD Silane Processes Silane and nitrous oxide N 2 O (laughing gas) Dissociation in plasma form SiH 2 and O Radicals react rapidly to form silicon oxide plasma SiH 4 + N 2 O SiO x H y + H 2 O + N 2 + NH 3 + heat Overflow N 2 O, using SiH 4 flow to control deposition rate 80

81 Question Can we overflow silane and use nitrous oxide flow rate to controlled deposition rate? 81

82 Answer Theoretically we can, but practically no one should even try this It is very dangerous and not cost effective Overflowing silane will create a big safety hazard: fire and explosion Silane is more expensive than nitrous oxide 82

83 Passivation: Silicon Nitride Barrier layer for moisture and mobile ions The PECVD nitride Low deposition temperature (<450ºC) High deposition rate Silane, ammonia, and nitrogen plasma SiH 4 + N 2 + NH 3 SiN x H y + H 2 + N 2 + NH 3 + heat Requires good step coverage, high dep. rate, good uniformity, and stress control 83

84 Passivation Dielectric Deposition 1. Stabilization 1 (stabilize pressure) 2. Oxide deposition (stress buffer for nitride) 3. Pump 4. Stabilization 2 (stabilize pressure) 5. Nitride deposition (passivation layer) 6. Plasma purging (eliminate SiH 4 ) 7. Pump 84

85 Dielectric Anti-Reflective Coating High resolution for photolithography ARC layer is required to reduce the reflection Metallic ARC: TiN, 30% to 40% reflection No longer good enough for < 0.25 m Dielectric ARC layer is used Spin-on before photoresist coating CVD 85

86 Dielectric Anti-Reflective Coating UV light ( ) = 2nt = /2 1 2 Photoresist t Dielectric ARC, n, k Aluminum alloy 86

87 Dielectric ARC PECVD silane process N 2 O as oxygen and nitrogen source plasma SiH 4 + N 2 O + He SiO x N y + H 2 O + N 2 + NH 3 + He + heat 87

88 Schematic of Sputtering Etch Chamber Process gases Process chamber Plasma Magnet coils By-products to the pump Chuck RF power 88

89 Ozonator Lighting, corona discharge plasma O 2 O + O O + O 2 + M O 3 + M (M = O 2, N 2, Ar, He, etc.) 89

90 Ozone generation in an ozone cell RF O 2 + N 2 O 2 + O 3 + N 2 + N 2 O + 90

91 Schematic of ozone concentration monitoring system Monitored by UV absorption (Beer-Lambert law): I = I 0 exp(-xcl) Mechanical chopper L Ozone cell UV sensor UV Lamp O 3 /O 2 O 3 /O 2 Analyzer 91

92 High-Density Plasma CVD (HDP-CVD) Dep/etch/dep gap fill needs two chambers Narrower gaps may need more dep/etch cycles to fill A tool can deposit and sputtering etch simultaneously would be greatly helpful The solution: HDP-CVD 92

93 Inductively coupled plasma (ICP) Electron cyclotron resonance (ECR) 93

94 ICP Chamber Source RF Inductive coils Ceramic cover Chamber body Plasma Wafer E-chuck Helium Bias RF 94

95 ECR Chamber Microwave Magnet coils Magnetic field line Plasma Wafer E-chuck Helium Bias RF 95

96 HDP-CVD, IMD Application Metal Metal Metal 96

97 HDP-CVD, Deposition Metal Metal Metal 97

98 HDP-CVD, Deposition Metal Metal Metal 98

99 HDP-CVD, Deposition Metal Metal Metal 99

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

ME 141B: The MEMS Class Introduction to MEMS and MEMS Design. Sumita Pennathur UCSB

ME 141B: The MEMS Class Introduction to MEMS and MEMS Design. Sumita Pennathur UCSB ME 141B: The MEMS Class Introduction to MEMS and MEMS Design Sumita Pennathur UCSB Outline today Introduction to thin films Oxidation Deal-grove model CVD Epitaxy Electrodeposition 10/6/10 2/45 Creating

More information

Plasma-Enhanced Chemical Vapor Deposition

Plasma-Enhanced Chemical Vapor Deposition Plasma-Enhanced Chemical Vapor Deposition Steven Glenn July 8, 2009 Thin Films Lab 4 ABSTRACT The objective of this lab was to explore lab and the Applied Materials P5000 from a different point of view.

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 9/13/2007 Fabrication Technology Lecture 1 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world)

More information

Lecture Day 2 Deposition

Lecture Day 2 Deposition Deposition Lecture Day 2 Deposition PVD - Physical Vapor Deposition E-beam Evaporation Thermal Evaporation (wire feed vs boat) Sputtering CVD - Chemical Vapor Deposition PECVD LPCVD MVD ALD MBE Plating

More information

Semiconductor Technology

Semiconductor Technology Semiconductor Technology from A to Z Oxidation www.halbleiter.org Contents Contents List of Figures List of Tables II III 1 Oxidation 1 1.1 Overview..................................... 1 1.1.1 Application...............................

More information

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Metallization deposition and etching. Material mainly taken from Campbell, UCCS Metallization deposition and etching Material mainly taken from Campbell, UCCS Application Metallization is back-end processing Metals used are aluminum and copper Mainly involves deposition and etching,

More information

Thermal Evaporation. Theory

Thermal Evaporation. Theory Thermal Evaporation Theory 1. Introduction Procedures for depositing films are a very important set of processes since all of the layers above the surface of the wafer must be deposited. We can classify

More information

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

Semiconductor Manufacturing Technology. IC Fabrication Process Overview Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 00 by Prentice Hall Chapter 9 IC Fabrication Process Overview /4 Objectives After studying the material in this chapter, you

More information

CSI G SYSTEMS CSI GAS DELIVERY SUPPORT. Chemical Vapor Deposition (CVD)

CSI G SYSTEMS CSI GAS DELIVERY SUPPORT. Chemical Vapor Deposition (CVD) This page discusses the CVD processes often used for integrated circuits (ICs). Particular materials are deposited best under particular conditions. Facilitation recommendations are at the bottom of the

More information

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 2001 by Prentice Hall Chapter 11 Deposition Film Layers for an MSI Era NMOS Transistor Topside Nitride Pre-metal oxide Sidewall

More information

Technology. Semiconductor Manufacturing. Hong Xiao INTRODUCTION TO SECOND EDITION SPIE PRESS

Technology. Semiconductor Manufacturing. Hong Xiao INTRODUCTION TO SECOND EDITION SPIE PRESS INTRODUCTION TO Semiconductor Manufacturing Technology SECOND EDITION Hong Xiao TECHNISCHE INFORMATIONSBiBUOTHEK UNIVERSITATSBIBLIOTHEK HANNOVER SPIE PRESS Bellingham,Washington USA Contents Preface to

More information

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high vacuum ~10-7 torr Removes residual gases eg oxygen from

More information

Microstructures using RF sputtered PSG film as a sacrificial layer in surface micromachining

Microstructures using RF sputtered PSG film as a sacrificial layer in surface micromachining Sādhanā Vol. 34, Part 4, August 2009, pp. 557 562. Printed in India Microstructures using RF sputtered PSG film as a sacrificial layer in surface micromachining VIVEKANAND BHATT 1,, SUDHIR CHANDRA 1 and

More information

Materials Characterization

Materials Characterization Materials Characterization C. R. Abernathy, B. Gila, K. Jones Cathodoluminescence (CL) system FEI Nova NanoSEM (FEG source) with: EDAX Apollo silicon drift detector (TE cooled) Gatan MonoCL3+ FEI SEM arrived

More information

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation Micro-Electro-Mechanical Systems (MEMS) Fabrication Fabrication Considerations Stress-Strain, Thin-film Stress, Stiction Special Process Modules for MEMS Bonding, Cavity Sealing, Deep RIE, Spatial forming

More information

Oxide Growth. 1. Introduction

Oxide Growth. 1. Introduction Oxide Growth 1. Introduction Development of high-quality silicon dioxide (SiO2) has helped to establish the dominance of silicon in the production of commercial integrated circuits. Among all the various

More information

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE Dr. Alan Doolittle

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE Dr. Alan Doolittle Lecture 12 Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12 Evaporation and Sputtering (Metalization) Evaporation For all devices, there is a need to go from semiconductor to metal.

More information

Procese de depunere in sistemul Plasma Enhanced Chemical Vapor Deposition (PECVD)

Procese de depunere in sistemul Plasma Enhanced Chemical Vapor Deposition (PECVD) Procese de depunere in sistemul Plasma Enhanced Chemical Vapor Deposition (PECVD) Ciprian Iliescu Conţinutul acestui material nu reprezintă in mod obligatoriu poziţia oficială a Uniunii Europene sau a

More information

Lecture 8. Deposition of dielectrics and metal gate stacks (CVD, ALD)

Lecture 8. Deposition of dielectrics and metal gate stacks (CVD, ALD) Lecture 8 Deposition of dielectrics and metal gate stacks (CVD, ALD) Thin Film Deposition Requirements Many films, made of many different materials are deposited during a standard CMS process. Gate Electrodes

More information

Lecture 22: Integrated circuit fabrication

Lecture 22: Integrated circuit fabrication Lecture 22: Integrated circuit fabrication Contents 1 Introduction 1 2 Layering 4 3 Patterning 7 4 Doping 8 4.1 Thermal diffusion......................... 10 4.2 Ion implantation.........................

More information

Surface Micromachining

Surface Micromachining Surface Micromachining Outline Introduction Material often used in surface micromachining Material selection criteria in surface micromachining Case study: Fabrication of electrostatic motor Major issues

More information

Device Fabrication: Metallization

Device Fabrication: Metallization Device Fabrication: Metallization 1 Applications: Interconnection 2 Q & A Can we reduce all dimensions of metal interconnection line at the same ratio? R= l/wh. When we shrink all dimensions (length l,

More information

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications Journal of ELECTRONIC MATERIALS, Vol. 31, No. 5, 2002 Special Issue Paper Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems

More information

EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES

EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES G. Fortunato, A. Pecora, L. Maiolo, M. Cuscunà, D. Simeone, A. Minotti, and L. Mariucci CNR-IMM,

More information

Low temperature deposition of thin passivation layers by plasma ALD

Low temperature deposition of thin passivation layers by plasma ALD 1 Low temperature deposition of thin passivation layers by plasma ALD Bernd Gruska, SENTECH Instruments GmbH, Germany 1. SENTECH in brief 2. Low temperature deposition processes 3. SENTECH SI ALD LL System

More information

Etching Etching Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference

Etching Etching Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference Etching Etching Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between 2 materials Need strong selectivity from masking

More information

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material on any substrate (in principal) Start with pumping down

More information

"Plasma CVD passivation; Key to high efficiency silicon solar cells",

Plasma CVD passivation; Key to high efficiency silicon solar cells, "Plasma CVD passivation; Key to high efficiency silicon solar cells", David Tanner Date: May 7, 2015 2012 GTAT Corporation. All rights reserved. Summary: Remarkable efficiency improvements of silicon solar

More information

Fabrication Technology

Fabrication Technology Fabrication Technology By B.G.Balagangadhar Department of Electronics and Communication Ghousia College of Engineering, Ramanagaram 1 OUTLINE Introduction Why Silicon The purity of Silicon Czochralski

More information

Visualization and Control of Particulate Contamination Phenomena in a Plasma Enhanced CVD Reactor

Visualization and Control of Particulate Contamination Phenomena in a Plasma Enhanced CVD Reactor Visualization and Control of Particulate Contamination Phenomena in a Plasma Enhanced CVD Reactor Manabu Shimada, 1 Kikuo Okuyama, 1 Yutaka Hayashi, 1 Heru Setyawan, 2 and Nobuki Kashihara 2 1 Department

More information

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation. Figure 2.1 (p. 58) Basic fabrication steps in the silicon planar process: (a) oxide formation, (b) selective oxide removal, (c) deposition of dopant atoms on wafer, (d) diffusion of dopant atoms into exposed

More information

Metallization. Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance

Metallization. Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance Metallization Interconnects Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance RC time delay Inter-Metal Dielectric -Prefer low dielectric constant to reduce capacitance

More information

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials Interconnects Outline Interconnect scaling issues Aluminum technology Copper technology 1 Properties of Interconnect Materials Metals Silicides Barriers Material Thin film Melting resistivity point ( C)

More information

Metallization. Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance

Metallization. Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance Metallization Interconnects Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance RC time delay Inter-Metal Dielectric -Prefer low dielectric constant to reduce capacitance

More information

UTILIZATION OF ATMOSPHERIC PLASMA SURFACE PREPARATION TO IMPROVE COPPER PLATING PROCESSES.

UTILIZATION OF ATMOSPHERIC PLASMA SURFACE PREPARATION TO IMPROVE COPPER PLATING PROCESSES. SESSION 14 MATERIALS AND PROCESSES FOR ADVANCED PACKAGING UTILIZATION OF ATMOSPHERIC PLASMA SURFACE PREPARATION TO IMPROVE COPPER PLATING PROCESSES. Eric Schulte 1, Gilbert Lecarpentier 2 SETNA Corporation

More information

Fabrication and Layout

Fabrication and Layout ECEN454 Digital Integrated Circuit Design Fabrication and Layout ECEN 454 3.1 A Glimpse at MOS Device Polysilicon Aluminum ECEN 475 4.2 1 Material Classification Insulators Glass, diamond, silicon oxide

More information

Section 4: Thermal Oxidation. Jaeger Chapter 3. EE143 - Ali Javey

Section 4: Thermal Oxidation. Jaeger Chapter 3. EE143 - Ali Javey Section 4: Thermal Oxidation Jaeger Chapter 3 Properties of O Thermal O is amorphous. Weight Density =.0 gm/cm 3 Molecular Density =.3E molecules/cm 3 O Crystalline O [Quartz] =.65 gm/cm 3 (1) Excellent

More information

Process Flow in Cross Sections

Process Flow in Cross Sections Process Flow in Cross Sections Process (simplified) 0. Clean wafer in nasty acids (HF, HNO 3, H 2 SO 4,...) --> wear gloves! 1. Grow 500 nm of SiO 2 (by putting the wafer in a furnace with O 2 2. Coat

More information

Chapter 2 Additive Processes for Semiconductors and Dielectric Materials

Chapter 2 Additive Processes for Semiconductors and Dielectric Materials Chapter 2 Additive Processes for Semiconductors and Dielectric Materials Christian A. Zorman, Robert C. Roberts, and Li Chen Abstract This chapter presents an overview of the key methods and process recipes

More information

A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon

A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon April 2009 A Deep Silicon RIE Primer 1.0) Etching: Silicon does not naturally etch anisotropically in fluorine based chemistries. Si

More information

Amorphous silicon waveguides for microphotonics

Amorphous silicon waveguides for microphotonics 4 Amorphous silicon waveguides for microphotonics Amorphous silicon a-si was made by ion irradiation of crystalline silicon with 1 10 15 Xe ions cm 2 at 77 K in the 1 4 MeV energy range. Thermal relaxation

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time Etching Dry etch (anisotropic) SiO

More information

SURFACE AND GAS PHASE REACTIONS FOR FLUOROCARBON PLASMA ETCHING OF SiO 2

SURFACE AND GAS PHASE REACTIONS FOR FLUOROCARBON PLASMA ETCHING OF SiO 2 27th IEEE International Conference on Plasma Science New Orleans, Louisiana June 4-7, 2000 SURFACE AND GAS PHASE REACTIONS FOR FLUOROCARBON PLASMA ETCHING OF SiO 2 Da Zhang* and Mark J. Kushner** *Department

More information

Alternative Methods of Yttria Deposition For Semiconductor Applications. Rajan Bamola Paul Robinson

Alternative Methods of Yttria Deposition For Semiconductor Applications. Rajan Bamola Paul Robinson Alternative Methods of Yttria Deposition For Semiconductor Applications Rajan Bamola Paul Robinson Origin of Productivity Losses in Etch Process Aggressive corrosive/erosive plasma used for etch Corrosion/erosion

More information

Ultra High Barrier Coatings by PECVD

Ultra High Barrier Coatings by PECVD Society of Vacuum Coaters 2014 Technical Conference Presentation Ultra High Barrier Coatings by PECVD John Madocks & Phong Ngo, General Plasma Inc., 546 E. 25 th Street, Tucson, Arizona, USA Abstract Silicon

More information

Visit

Visit Practical Applications for Nano- Electronics by Vimal Gopee E-mail: Vimal.gopee@npl.co.uk 10/10/12 Your Delegate Webinar Control Panel Open and close your panel Full screen view Raise hand for Q&A at the

More information

Lab #2 Wafer Cleaning (RCA cleaning)

Lab #2 Wafer Cleaning (RCA cleaning) Lab #2 Wafer Cleaning (RCA cleaning) RCA Cleaning System Used: Wet Bench 1, Bay1, Nanofabrication Center Chemicals Used: H 2 O : NH 4 OH : H 2 O 2 (5 : 1 : 1) H 2 O : HF (10 : 1) H 2 O : HCl : H 2 O 2

More information

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther EECS 40 Spring 2003 Lecture 19 Microfabrication 4/1/03 Prof. ndy Neureuther How are Integrated Circuits made? Silicon wafers Oxide formation by growth or deposition Other films Pattern transfer by lithography

More information

Microwave Plasma Processing

Microwave Plasma Processing Microwave Plasma Processing MUEGGE GMBH Hochstraße 4-6 64385 Reichelsheim Fon +49 (0) 6164-93 07 11 Fax +49 (0) 6164-93 07 93 info@muegge.de www.muegge.de Microwave Plasma Processing Microwave Plasma Technology:

More information

Surface micromachining and Process flow part 1

Surface micromachining and Process flow part 1 Surface micromachining and Process flow part 1 Identify the basic steps of a generic surface micromachining process Identify the critical requirements needed to create a MEMS using surface micromachining

More information

University of Minnesota Nano Center Standard Operating Procedure

University of Minnesota Nano Center Standard Operating Procedure Equipment Name: University of Minnesota Nano Center PECVD Coral Name: pecvd Revision Number: 2.0 Model: Plasmatherm 340 Revisionist: Mark Fisher Location: Bay 3 Date: 20 Sept 2013 1 Description The Plasmatherm

More information

ALD systems and SENTECH Instruments GmbH

ALD systems and SENTECH Instruments GmbH ALD systems and processes @ SENTECH Instruments GmbH H. Gargouri, F. Naumann, R. Rudolph and M. Arens SENTECH Instruments GmbH, Berlin www.sentech.de 1 2 Agenda 1. Company Introduction 2. SENTECH-ALD-Systems

More information

Semiconductor Technology

Semiconductor Technology Semiconductor Technology von A bis Z Metallization www.halbleiter.org Contents Contents List of Figures List of Tables II III 1 Metallization 1 1.1 Requirements on metallization........................

More information

11:30 AM - C4.4 Chemical Vapor Deposition of Cobalt Nitride and Its Application as an Adhesion-enhancing Layer for Advanced Copper Interconnects

11:30 AM - C4.4 Chemical Vapor Deposition of Cobalt Nitride and Its Application as an Adhesion-enhancing Layer for Advanced Copper Interconnects 2012-04-11 SYMPOSIUM C 11:30 AM - C4.4 Chemical Vapor Deposition of Cobalt Nitride and Its Application as an Adhesion-enhancing Layer for Advanced Copper Interconnects Jing Yang 1, Harish B. Bhandari 1,

More information

CMOS FABRICATION. n WELL PROCESS

CMOS FABRICATION. n WELL PROCESS CMOS FABRICATION n WELL PROCESS Step 1: Si Substrate Start with p- type substrate p substrate Step 2: Oxidation Exposing to high-purity oxygen and hydrogen at approx. 1000 o C in oxidation furnace SiO

More information

Chapter 5 Epitaxial Growth of Si 1-y C y Alloys

Chapter 5 Epitaxial Growth of Si 1-y C y Alloys Chapter 5 Epitaxial Growth of Si 1-y C y Alloys 5.1 Introduction Traditionally, the incorporation of substitutional carbon into silicon and silicongermanium alloys during growth is of great interest for

More information

LOT. Contents. Introduction to Thin Film Technology. Chair of Surface and Materials Technology

LOT. Contents. Introduction to Thin Film Technology. Chair of Surface and Materials Technology Introduction to Thin Film Contents 1. Introduction and Application Examples (2h) 2. Preparation of Thin Films by PVD (Physical Vapor Deposition) (6h) 2.1 Vacuum Technique (1h) 2.1.1 Kinetics of Gases 2.1.2

More information

Atomic Layer Deposition(ALD)

Atomic Layer Deposition(ALD) Atomic Layer Deposition(ALD) AlO x for diffusion barriers OLED displays http://en.wikipedia.org/wiki/atomic_layer_deposition#/media/file:ald_schematics.jpg Lam s market-leading ALTUS systems combine CVD

More information

Silicon Wafer Processing PAKAGING AND TEST

Silicon Wafer Processing PAKAGING AND TEST Silicon Wafer Processing PAKAGING AND TEST Parametrical test using test structures regularly distributed in the wafer Wafer die test marking defective dies dies separation die fixing (not marked as defective)

More information

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005 3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005 1) This is an open book, take-home quiz. You are not to consult with other class members or anyone else. You may discuss the

More information

200mm Next Generation MEMS Technology update. Florent Ducrot

200mm Next Generation MEMS Technology update. Florent Ducrot 200mm Next Generation MEMS Technology update Florent Ducrot The Most Exciting Industries on Earth Semiconductor Display Solar 20,000,000x reduction in COST PER TRANSISTOR in 30 years 1 20x reduction in

More information

Amorphous Silicon Solar Cells

Amorphous Silicon Solar Cells The Birnie Group solar class and website were created with much-appreciated support from the NSF CRCD Program under grants 0203504 and 0509886. Continuing Support from the McLaren Endowment is also greatly

More information

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing ELEC 3908, Physical Electronics, Lecture 4 Basic Integrated Circuit Processing Lecture Outline Details of the physical structure of devices will be very important in developing models for electrical behavior

More information

Chapter 2. Density 2.65 g/cm 3 Melting point Young s modulus Tensile strength Thermal conductivity Dielectric constant 3.

Chapter 2. Density 2.65 g/cm 3 Melting point Young s modulus Tensile strength Thermal conductivity Dielectric constant 3. Chapter 2 Thin Film Materials Thin films of Silicon dioxide, Silicon nitride and Polysilicon have been utilized in the fabrication of absolute micro pressure sensor. These materials are studied and discussed

More information

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook:

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook: HOMEWORK 4 and 5 March 15, 2009 Homework is due on Monday March 30, 2009 in Class. Chapter 7 Answer the following questions from the Course Textbook: 7.2, 7.3, 7.4, 7.5, 7.6*, 7.7, 7.9*, 7.10*, 7.16, 7.17*,

More information

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS AND FABRICATION ENGINEERING ATTHE MICRO- NANOSCALE Fourth Edition STEPHEN A. CAMPBELL University of Minnesota New York Oxford OXFORD UNIVERSITY PRESS CONTENTS Preface xiii prrt i OVERVIEW AND MATERIALS

More information

Section 4: Thermal Oxidation. Jaeger Chapter 3

Section 4: Thermal Oxidation. Jaeger Chapter 3 Section 4: Thermal Oxidation Jaeger Chapter 3 Properties of O Thermal O is amorphous. Weight Density =.0 gm/cm 3 Molecular Density =.3E molecules/cm 3 O Crystalline O [Quartz] =.65 gm/cm 3 (1) Excellent

More information

Temperature Scales. Questions. Temperature Conversions 7/21/2010. EE580 Solar Cells Todd J. Kaiser. Thermally Activated Processes

Temperature Scales. Questions. Temperature Conversions 7/21/2010. EE580 Solar Cells Todd J. Kaiser. Thermally Activated Processes 7/1/010 EE80 Solar Cells Todd J. Kaiser Flow of Wafer in Fabrication Lecture 0 Microfabrication A combination of Applied Chemistry, Physics and ptics Thermal Processes Diffusion & xidation Photolithograpy

More information

4. Thermal Oxidation. a) Equipment Atmospheric Furnace

4. Thermal Oxidation. a) Equipment Atmospheric Furnace 4. Thermal Oxidation a) Equipment Atmospheric Furnace Oxidation requires precise control of: temperature, T ambient gas, G time spent at any given T & G, t Vito Logiudice 34 4. Thermal Oxidation b) Mechanism

More information

A New Liquid Precursor for Pure Ruthenium Depositions. J. Gatineau, C. Dussarrat

A New Liquid Precursor for Pure Ruthenium Depositions. J. Gatineau, C. Dussarrat 1.1149/1.2727414, The Electrochemical Society A New Liquid Precursor for Pure Ruthenium Depositions J. Gatineau, C. Dussarrat Air Liquide Laboratories, Wadai 28, Tsukuba city, Ibaraki Prefecture, 3-4247,

More information

Method to obtain TEOS PECVD Silicon Oxide Thick Layers for Optoelectronics devices Application

Method to obtain TEOS PECVD Silicon Oxide Thick Layers for Optoelectronics devices Application Method to obtain TEOS PECVD Silicon Oxide Thick Layers for Optoelectronics devices Application ABSTRACT D. A. P. Bulla and N. I. Morimoto Laboratório de Sistemas Integráveis da EPUSP São Paulo - S.P. -

More information

ME 189 Microsystems Design and Manufacture. Chapter 9. Micromanufacturing

ME 189 Microsystems Design and Manufacture. Chapter 9. Micromanufacturing ME 189 Microsystems Design and Manufacture Chapter 9 Micromanufacturing This chapter will offer an overview of the application of the various fabrication techniques described in Chapter 8 in the manufacturing

More information

Capital / MRKT CAP \6.14B / \280.6B (as of May 12 th ) Chugeri, Yangji myun, Cheoin gu, Yongin, Kyunggi do, Korea

Capital / MRKT CAP \6.14B / \280.6B (as of May 12 th ) Chugeri, Yangji myun, Cheoin gu, Yongin, Kyunggi do, Korea Company name Established 05 JAN, 2000 Eugene Technology Co., Ltd. CEO Pyung Yong Um Capital / MRKT CAP \6.14B / \280.6B (as of May 12 th ) Address Main Products Home Page 209-3 Chugeri, Yangji myun, Cheoin

More information

COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING

COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING Lynne Michaelson 1, Anh Viet Nguyen 2, Krystal Munoz 1, Jonathan C. Wang

More information

Ion-assist applications of broad-beam ion sources

Ion-assist applications of broad-beam ion sources Ion-assist applications of broad-beam ion sources H.R. Kaufman a and J.M.E. Harper b a Kaufman & Robinson, Inc., 1306 Blue Spruce Dr., Fort Collins, CO 80524; b University of New Hampshire, Dept. of Physics,

More information

Process steps for Field Emitter devices built on Silicon wafers And 3D Photovoltaics on Silicon wafers

Process steps for Field Emitter devices built on Silicon wafers And 3D Photovoltaics on Silicon wafers Process steps for Field Emitter devices built on Silicon wafers And 3D Photovoltaics on Silicon wafers David W. Stollberg, Ph.D., P.E. Research Engineer and Adjunct Faculty GTRI_B-1 Field Emitters GTRI_B-2

More information

Vacuum deposition of TiN

Vacuum deposition of TiN J.Lorkiewicz DESY.27.10.02 Vacuum deposition of TiN (TiN coating of high power coupler elements as an anti-multipactor remedy at DESY) The scope of the project: - reducing secondary electron emission and

More information

VLSI Technology. By: Ajay Kumar Gautam

VLSI Technology. By: Ajay Kumar Gautam By: Ajay Kumar Gautam Introduction to VLSI Technology, Crystal Growth, Oxidation, Epitaxial Process, Diffusion Process, Ion Implantation, Lithography, Etching, Metallization, VLSI Process Integration,

More information

Lecture 5. SOI Micromachining. SOI MUMPs. SOI Micromachining. Silicon-on-Insulator Microstructures. Agenda:

Lecture 5. SOI Micromachining. SOI MUMPs. SOI Micromachining. Silicon-on-Insulator Microstructures. Agenda: EEL6935 Advanced MEMS (Spring 2005) Instructor: Dr. Huikai Xie SOI Micromachining Agenda: SOI Micromachining SOI MUMPs Multi-level structures Lecture 5 Silicon-on-Insulator Microstructures Single-crystal

More information

Chemical Vapor Deposition

Chemical Vapor Deposition Preparation of Low-k Porous SiO 2 Films by SiO 2 /Organic Hybrid Chemical Vapor Deposition Akira Fujimoto and Osamu Sugiura Department of Physical Electronics, Tokyo Institute of Technology, 2-2-, O-okayama,

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very

Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very high voltages (10-600 KeV) Use analyzer to selection charge/mass

More information

Research Article Synthesis and Characterization of LPCVD Polysilicon and Silicon Nitride Thin Films for MEMS Applications

Research Article Synthesis and Characterization of LPCVD Polysilicon and Silicon Nitride Thin Films for MEMS Applications Materials, Article ID 954618, 8 pages http://dx.doi.org/1.1155/214/954618 Research Article Synthesis and Characterization of LPCVD Polysilicon and Silicon Nitride Thin Films for MEMS Applications N. Sharma,

More information

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) contents UNIT I INTRODUCTION: Introduction to IC Technology MOS, PMOS, NMOS, CMOS & BiCMOS technologies. BASIC ELECTRICAL PROPERTIES : Basic Electrical

More information

6.777J/2.732J Design and Fabrication of Microelectromechanical Devices Spring Term Solution to Problem Set 2 (16 pts)

6.777J/2.732J Design and Fabrication of Microelectromechanical Devices Spring Term Solution to Problem Set 2 (16 pts) 6.777J/2.732J Design and Fabrication of Microelectromechanical Devices Spring Term 2007 By Brian Taff (Adapted from work by Feras Eid) Solution to Problem Set 2 (16 pts) Issued: Lecture 4 Due: Lecture

More information

Overview. Silicon Microfabrication Part 2. Introduction to BioMEMS & Medical Microdevices

Overview. Silicon Microfabrication Part 2. Introduction to BioMEMS & Medical Microdevices Introduction to BioMEMS & Medical Microdevices Silicon Microfabrication Part 2 Companion lecture to the textbook: Fundamentals of BioMEMS and Medical Microdevices, by Prof., http://saliterman.umn.edu/

More information

Silicon Microfabrication Part 2

Silicon Microfabrication Part 2 Introduction to BioMEMS & Medical Microdevices Silicon Microfabrication Part 2 Companion lecture to the textbook: Fundamentals of BioMEMS and Medical Microdevices, by Prof., http://saliterman.umn.edu/

More information

enabling tomorrow s technologies CVD Production Systems for Industrial Coatings powered by

enabling tomorrow s technologies CVD Production Systems for Industrial Coatings powered by enabling tomorrow s technologies CVD Production Systems for Industrial Coatings powered by www.cvdequipment.com Equipment Design, Engineering, and Manufacturing Thin film deposition systems for industrial

More information

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1 Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1 LECTURE 030 INTEGRATED CIRCUIT TECHNOLOGY - I (References [7,8]) Objective The objective of this presentation is: 1.) Illustrate integrated

More information

5.8 Diaphragm Uniaxial Optical Accelerometer

5.8 Diaphragm Uniaxial Optical Accelerometer 5.8 Diaphragm Uniaxial Optical Accelerometer Optical accelerometers are based on the BESOI (Bond and Etch back Silicon On Insulator) wafers, supplied by Shin-Etsu with (100) orientation, 4 diameter and

More information

3. Overview of Microfabrication Techniques

3. Overview of Microfabrication Techniques 3. Overview of Microfabrication Techniques The Si revolution First Transistor Bell Labs (1947) Si integrated circuits Texas Instruments (~1960) Modern ICs More? Check out: http://www.pbs.org/transistor/background1/events/miraclemo.html

More information

Drytech Quad Etch Recipes Dr. Lynn Fuller Mike Aquilino Microelectronic Engineering

Drytech Quad Etch Recipes Dr. Lynn Fuller Mike Aquilino Microelectronic Engineering ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Drytech Quad Etch Recipes Dr. Lynn Fuller Mike Aquilino 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Fax (585) 475-5041

More information

In-Situ Characterization During MOVPE Growth of III-Nitrides using Reflectrometry

In-Situ Characterization During MOVPE Growth of III-Nitrides using Reflectrometry 18 Annual Report 1999, Dept. of Optoelectronics, University of Ulm In-Situ Characterization During MOVPE Growth of III-Nitrides using Reflectrometry Christoph Kirchner and Matthias Seyboth The suitability

More information

O2 Plasma Damage and Dielectric Recoveries to Patterned CDO Low-k Dielectrics

O2 Plasma Damage and Dielectric Recoveries to Patterned CDO Low-k Dielectrics O2 Plasma Damage and Dielectric Recoveries to Patterned CDO Low-k Dielectrics H. Huang 1, J. Bao 1, H. Shi 1, P. S. Ho 1, M L McSwiney 2, M D Goodner 2, M Moinpour 2, and G M Kloster 2 1 Laboratory for

More information

Silicon Manufacturing

Silicon Manufacturing Silicon Manufacturing Group Members Young Soon Song Nghia Nguyen Kei Wong Eyad Fanous Hanna Kim Steven Hsu th Fundamental Processing Steps 1.Silicon Manufacturing a) Czochralski method. b) Wafer Manufacturing

More information

Precursors with Metal-Nitrogen Bonds for ALD of Metals, Nitrides and Oxides

Precursors with Metal-Nitrogen Bonds for ALD of Metals, Nitrides and Oxides Precursors with Metal-Nitrogen Bonds for ALD of Metals, Nitrides and Oxides Abstract Roy Gordon Gordon@chemistry.harvard.edu, Cambridge, MA To achieve ALD s unique characteristics, ALD precursors must

More information

Dow Corning WL-5150 Photodefinable Spin-On Silicone

Dow Corning WL-5150 Photodefinable Spin-On Silicone Dow Corning WL-515 Photodefinable Spin-On Silicone Properties and Processing Procedures Introduction Dow Corning WL-515 is a silicone formulation which can be photopatterned and cured using standard microelectronics

More information

From Vacuum to Atmosphere and back an in-house Process Chain for Different Products

From Vacuum to Atmosphere and back an in-house Process Chain for Different Products From Vacuum to Atmosphere and back an in-house Process Chain for Different Products Dr. Steffen Günther Vacuum coating low pressure 10-1 10-4 Pa (10-3 10-6 mbar) unhindered particle movement no unintended

More information

3D technologies for integration of MEMS

3D technologies for integration of MEMS 3D technologies for integration of MEMS, Fraunhofer Institute for Electronic Nano Systems Folie 1 Outlook Introduction 3D Processes Process integration Characterization Sample Applications Conclusion Folie

More information