Packaging Effect on Reliability for Cu/Low k Damascene Structures*

Size: px
Start display at page:

Download "Packaging Effect on Reliability for Cu/Low k Damascene Structures*"

Transcription

1 Packaging Effect on Reliability for Cu/Low k Damascene Structures* Guotao Wang and Paul S. Ho Laboratory of Interconnect & Packaging, TX * Work supported by SRC through the CAIST Program TRC 2003

2 1. Introduction Packaging requirements and processes Packaging impact on reliability for Cu interconnect 2. Multilevel sub-modeling technique 3D Modeling with Modified Virtual Crack Closure (MVCC) method 3. Simulation results Results for TEOS and low k structures Line dimension scaling effect Parametric study for package level components - Material and size effect for underfilled packages - Effect of solder reflow without underfill in plastic packages 4. Recent fracture studies on low k structures

3 Single-chip Packaging Technology Requirements Year of Production Chip Size (mm 2 ) Hand-held High-performance Power: Single Chip Package (Watts) Hand-held High-performance Package Pin Count Maximum Hand-held High-performance Sub-threshold Leakage Current, I sd,leak (@25C) Nominal low-power NMOS (pa/μm) Nominal high-performance NMOS (μa/μm) Int. Semicond. Tech. Roadmap Update 2002

4 Flip-Chip Packaging Die Solder bumping Substrate Solder reflow 183ºC 37Pb/63Sn High stress in the package during cooling down to room temp. Underfilling 125º to 180ºC Thermal cycling -55 o C-125 o C High stress can be introduced during thermal cycling.

5 Wire-bond Packaging Die Substrate Die attach 150º to 300ºC High stress at bond pad during wire bonding. Wire bonding Encapsulation 140º to 160ºC

6 Oxide low k Low k dielectrics have Weak mechanical strength Large coefficient of thermal expansion Poor adhesion to cap and barrier layers Interfacial delamination is a serious reliability concern for Cu/low k interconnects ~10mm Active Side Silicon Chip Passivation Metal Dielectric Device Level Interfacial delamination

7 Interfacial Delamination Interfacial delamination The crack driving force can be evaluated from the energy release rate (ERR) using finite element analysis. For a stand-alone Cu/low k interconnects, ERR has been calculated to be about 1 J/m 2 during cooling from 400 o C to room temperature. The fracture energy, or the critical ERR, is usually about 4-5 J/m 2 for low k interfaces (lower for porous ILD) and considerably higher for TEOS interfaces. (Y. Du et al., Proceedings of ECTC, 2002) Sub-critical crack growth instead of critical fracture will be more of concern for stand-alone Cu/low k structures

8 Solder bump Die BT substrate Underfill Solder bump Underfill BT substrate Through hole via Die High density signal layer Material mismatch and processing can induce thermal deformation and stress concentration in flip-chip packages: Packaging effect on low k interconnect reliability?

9 Verification with Moiré Interferometry 0 Package cross-section U Field Displacement (um) Moire result FEA result Distance from neutral point (mm) Package warpage V Field High resolution moiré interferometry was used to measure the thermal deformation in the flip-chip package and verified the modeling results at the package level.

10 High resolution U phase map (208nm per fringe) U Thermal load 20 C to 102 C

11 High resolution V phase map (208nm per fringe) V Thermal load 20 C to 102 C

12 Displacement distribution (U field, 52nm per contour) U Thermal load 20 C to 102 C

13 Displacement distribution (V field, 52nm per contour) V Thermal load 20 C to 102 C

14 Shear strain γ xy distribution at the solder bump A B C

15 Normal strain ε y distribution at the solder bump A B C

16 Impact from Packaging For a chip attached to a board, Large thermal stresses are induced due to thermal mismatch between package level components. In a plastic underfilled flip-chip package, Large peeling stress at chip/solder bump or chip/underfill interface due to thermal mismatch between solder and underfill. Large shear and tensile stress at die corner due to thermal mismatch between die and PCB. (M.R. Miller et al., Proceedings ECTC, p.979, 1999) Effect of thermal stress induced by packaging on structural reliability of Cu/low k interconnects?

17 Finite Element Analysis To study packaging impact on interconnect reliability In FEA model, details for both packaging and interconnect levels have to be considered. Modeling challenges Maximum dimension at package level: 10 to 30mm Minimum dimension at interconnect level: 20nm or less for barrier layer The ratio can be as high as It is impossible to consider the details of interconnect structures when modeling a whole package. Solution: multilevel sub-modeling technique

18 Multilevel Sub-model A 4-level 3D sub-model was developed to analyze Cu interconnects in flip-chip packages Starting from the packaging level, sub-modeling was conducted one level of detail at a time to reach the interconnect level. ANSYS built-in cut boundary technique was used at each sub-modeling level. At the final interconnect level, a crack with fixed length was introduced at various relevant interfaces. A Modified Virtual Crack Closure (MVCC) method was used to calculate the crack driving force (energy release rate).

19 Hierarchical Levels of Submodeling Level 1: Package level Level 2: Critical Solder Region Level 3: Die-Solder Interface Level 4: Detailed Interconnect

20 Level 1: Flip-chip Package Die Underfill PCB PCB Die At the package level, a quarter section of the package was modeled based on symmetry. Details of the interconnect structure was not considered because of its small dimension.

21 Verification with Moiré Interferometry 0 Package cross-section U Field Displacement (um) Moire result FEA result Distance from neutral point (mm) Package warpage V Field High resolution moiré interferometry was used to measure the thermal deformation in the flip-chip package and verified the modeling results at the package level.

22 Level 2: Critical Solder Region Die Critical solder bump Underfill PCB With underfill shown Without underfill shown The sub-model focused on the critical solder bump region with a uniform ILD layer at the die surface but no detailed interconnect structure included.

23 Level 3: Die-Solder Interface Die (Si) BPS G ILD PASS Solder pad This sub-model focused on the die-solder interface region containing a portion of die, ILD layer and a portion of solder bump but included only a uniform ILD layer for the interconnect.

24 Level 4: Detailed Interconnects Si Metal Line BPSG Metal 1 ILD PASS Solder pad Metal 2 This sub-model focused on the die-solder interface taking into account the detailed interconnect structure. A crack with fixed length was introduced along various interfaces to calculate the crack driving force.

25 z y x MVCC Technique (Modified Virtual Crack Closure) A A FEA elements and nodes near crack tip (2) δ z 2 G 3 (1) F z 1 (1) F z Mode 1 component I = F δ (1) z (2) z /(2 A) (2) δ x 2 3 (1) F x 1 (1) F x Mode 2 component G II = F δ (1) x (2) x /(2 A) G (2) δ y Total energy release rate: 2 (1) F y 1 (1) F y 3 Mode 3 component III = F δ (1) z (2) z /(2 A) G = G + G + I II F X, F y and F z are nodal forces at node 1 along x,y and z direction, respectively. δ X, δ y and δ z are relative displacements between node 2 and 3 along x,y and z direction, respectively. G III

26 Interconnect Interfaces BPSG M1 Via ILD Crack 6 Crack 5 Crack 1 Crack 4 PASS M2 Solder pad Crack 4, 5 and 6 are at the horizontal cap and barrier layer interfaces. Crack width is taken to be the line width.

27 Interconnect Interfaces (cont d) BPSG ILD ILD Metal 1 Crack 3 Crack 2 Barrier TiN Crack 2 and 3 are at the vertical barrier interfaces.

28 Material Properties Materials Al Cu TEOS SiLK Underfill PCB E ( GPa ) Anisotropic ν elastic property CTE ( 10-6 /C) (in plane) 84 (out of plane) Thermal loading: for stand-alone wafer structure from 400 o C to 25 o C and for packaging from 55 o C to 125 o C. All materials are taken to be linear elastic.

29 ERR (J/m^2) ERR for Stand alone Wafer Structures (from 400 o C to 25 o C) Al/TEOS Structure Cu/TEOS Structure Cu/SiLK Structure crack 1 crack 2 crack 3 crack 4 crack 5 crack 6 The SiLK/barrier interface in Cu/SiLK structure has the highest energy release rate (about 1.16 J/m 2 ). Fracture mode is primarily mode I driven by the high CTE of SiLK.

30 Packaging effect (-55 o C to 125 o C) ERR (J/m^2) Al/TEOS Structure Cu/TEOS Structure Cu/SiLK Structure 3 0 crack 1 crack 2 crack 3 crack 4 crack 5 crack 6 Packaging has little effect on energy release rate for Al/TEOS or Cu/TEOS structure, but is significant for Cu/SiLK structure. Mixedmode delamination with both peeling and shear stresses contributing.

31 Why energy release rate is much higher in Cu/low k structure than in Cu/TEOS structure? Assuming that the thermal stress induced from package level deformation is σ, the strains for low k ILD and TEOS will be σ σ ε SiLK =, εteos = for simple 1-D case E E SiLK TEOS The strain energy densities for SiLK and TEOS are ξ ξ SiLK TEOS 1 = σε 2 1 = σε 2 SiLK TEOS = = σ E 2 SiLK σ E 2 TEOS E SiLK is about 30 times lower than E TEOS, hence the strain energy density in SiLK will be about 30 times higher, leading to a much higher energy release rate in the Cu/SiLK structure. Confinement effect due to the damascene structure also affects the stress driving force and deformation behavior of the interconnect.

32 Scaling Effect Cu/SiLK Structure, SiLK/PASS Interface Normalized ERR wafer level only ( oC) Packaging effect ( oC) Line width (um) The driving force for interface fracture increases slightly with decreasing line width at Cu SiLK/PASS interface.

33 Effect of Die Attach Process A critical process step in flip-chip packaging is the solder reflow step before underfilling the package. Without underfill serves as a stress buffer, thermal mismatch between the die and substrate can generate large thermal stress at the solder/die interface near the die corner. Solder reflow temperatures are different for Pb-based and Pb-free solders. Thermal loads used in our simulation: High lead solder: 300 o C-25 o C Eutectic solder: 160 o C-25 o C Lead free solder: 250 o C-25 o C

34 Parametric Study of Die Attach Process 1. Substrate effect Plastic vs. ceramic substrate 2. Die size: 7x8mm vs. 13.4x14.4mm die 3. Solder materials: High lead solder Eutectic solder Lead free solder

35 Material Properties E(GPa) v CTE(x10-6 ) Die Plastic substrate Anisotropic elastic property 16(in plane) 84(out of plane) Ceramic substrate High lead solder *T Eutectic solder *T Lead free solder *T Underfill Underfill Underfill

36 ERR(J/m^2) Solder Materials Effect (Plastic substrate, 7x8mm die) High lead solder package Eutectic solder package Lead-free solder package 6 0 crack 1 crack 2 crack 3 crack 4 crack 5 crack 6 Solder reflow before underfill increases the driving force for interfacial delamination in Cu/SiLK structures, particularly for lead-free solders.

37 Substrate Effect (Eutectic solder, 7x8mm die) 8 Plastic substrate 6 Ceramic substrate ERR (J/m^2) crack 1 crack 2 crack 3 crack 4 crack 5 crack 6 Solder reflow reduces significantly the driving force for interfacial delamination in Cu/SiLK structure for packages with ceramic substrate compared to plastic substrate.

38 Die Size Effect (Plastic substrate, Eutectic solder) x7mm Die 14.4x13.4mm Die ERR (J/m^2) crack 1 crack 2 crack 3 crack 4 crack 5 crack 6 During reflow, the driving force for interface fracture increases with increasing die size. The effect is larger for high lead solders due to a higher reflow temperature

39 Summary Maximum energy release rate (J/m 2 ) for 0.5 mm line width Interfaces ILD/PASS ILD/BARR Metal/PAS S Metal/BARR Wafer level Al/TEOS Packaging Wafer level Cu/TEOS Packaging Wafer level Cu/SiLK Packaging

40 Conclusions 3D multilevel sub-modeling technique was developed to investigate the packaging effect on interfacial fracture for TEOS and low k interconnect structures. For stand-alone interconnects, the crack driving force for interfacial delamination is usually lower than the critical fracture energy, so subcritical crack growth and fatigue crack growth are important in controlling structural reliability. Packaging effect can significantly increase the energy release rate to cause critical crack growth in Cu/low k structures, particularly at the interfaces parallel to the chip surface. Interfacial chemical bonds are important in controlling interfacial adhesion. Residual stress can enforce thermal stress to drive crack growth, particularly in a humid environment. The effect on low k interconnect reliability has to be investigated.

Chip-Packaging Interaction and Reliability Impact on Cu/Low-k Interconnects. Mechanics, University of Texas, Austin, TX 78712

Chip-Packaging Interaction and Reliability Impact on Cu/Low-k Interconnects. Mechanics, University of Texas, Austin, TX 78712 Chip-Packaging Interaction and Reliability Impact on Cu/Low-k Interconnects Xuefeng Zhang 1, Se Hyuk Im 2, Rui Huang 2, and Paul S. Ho 1 1 Microelectronics Research Center, 2 Department of Aerospace Engineering

More information

Experience in Applying Finite Element Analysis for Advanced Probe Card Design and Study. Krzysztof Dabrowiecki Jörg Behr

Experience in Applying Finite Element Analysis for Advanced Probe Card Design and Study. Krzysztof Dabrowiecki Jörg Behr Experience in Applying Finite Element Analysis for Advanced Probe Card Design and Study Krzysztof Dabrowiecki Jörg Behr Overview A little bit of history in applying finite element analysis for probe card

More information

Solder joint reliability of cavity-down plastic ball grid array assemblies

Solder joint reliability of cavity-down plastic ball grid array assemblies cavity-down plastic ball grid array S.-W. Ricky Lee Department of Mechanical Engineering, The Hong Kong University of Science and, Kowloon, Hong Kong John H. Lau Express Packaging Systems, Inc., Palo Alto,

More information

3D FRACTURE MECHANICS ANALYSIS OF UNDERFILL DELAMINATION FOR FLIP CHIP PACKAGES

3D FRACTURE MECHANICS ANALYSIS OF UNDERFILL DELAMINATION FOR FLIP CHIP PACKAGES 3D FRACTURE MECHANICS ANALYSIS OF UNDERFILL DELAMINATION FOR FLIP CHIP PACKAGES Zhen Zhang, Charlie J Zhai, and Raj N Master Advanced Micro Devices, Inc. 1050 E. Arques Ave., Sunnyvale, CA 94085, USA Phone:

More information

Avatrel Stress Buffer Coatings: Low Stress Passivation and Redistribution Applications

Avatrel Stress Buffer Coatings: Low Stress Passivation and Redistribution Applications Avatrel Stress Buffer Coatings: Low Stress Passivation and Redistribution Applications Ed Elce, Chris Apanius, Jeff Krotine, Jim Sperk, Andrew Bell, Rob Shick* Sue Bidstrup-Allen, Paul Kohl Takashi Hirano,

More information

Thermo-Mechanical FEM Analysis of Lead Free and Lead Containing Solder for Flip Chip Applications

Thermo-Mechanical FEM Analysis of Lead Free and Lead Containing Solder for Flip Chip Applications Thermo-Mechanical FEM Analysis of Lead Free and Lead Containing Solder for Flip Chip Applications M. Gonzalez 1, B. Vandevelde 1, Jan Vanfleteren 2 and D. Manessis 3 1 IMEC, Kapeldreef 75, 3001, Leuven,

More information

Thermo-Mechanical Reliability of Through-Silicon Vias (TSVs)

Thermo-Mechanical Reliability of Through-Silicon Vias (TSVs) 1 Thermo-Mechanical Reliability of Through-Silicon Vias (TSVs) Xi Liu Ph.D. Student and Suresh K. Sitaraman, Ph.D. Professor The George W. Woodruff School of Mechanical Engineering Georgia Institute of

More information

Effect of Chip Dimension and Substrate Thickness on the Solder Joint Reliability of Plastic Ball Grid Array Packages* S.-W. Lee, J.H.

Effect of Chip Dimension and Substrate Thickness on the Solder Joint Reliability of Plastic Ball Grid Array Packages* S.-W. Lee, J.H. Page 1 of 9 Effect of Chip Dimension and Substrate Thickness on the Solder Joint Reliability of Plastic Ball Grid Array Packages* The Authors S.-W. Lee, J.H. Lau** S.-W. Lee, Center for Advanced Engineering

More information

An Innovative High Throughput Thermal Compression Bonding Process

An Innovative High Throughput Thermal Compression Bonding Process An Innovative High Throughput Thermal Compression Bonding Process Li Ming 2 September 2015 Outline Introduction Throughput improved TCB Process Liquid Phase Contact (LPC) bonding Flux-LPC-TCB under inert

More information

Manufacturing and Reliability Modelling

Manufacturing and Reliability Modelling Manufacturing and Reliability Modelling Silicon Chip C Bailey University of Greenwich London, England Printed Circuit Board Airflow Temperature Stress at end of Reflow Stress Product Performance in-service

More information

Effects of Design, Structure and Material on Thermal-Mechanical Reliability of Large Array Wafer Level Packages

Effects of Design, Structure and Material on Thermal-Mechanical Reliability of Large Array Wafer Level Packages Effects of Design, Structure and Material on Thermal-Mechanical Reliability of Large Array Wafer Level Packages Bhavesh Varia 1, Xuejun Fan 1, 2, Qiang Han 2 1 Department of Mechanical Engineering Lamar

More information

Thermo-Mechanical Reliability Assessment of TSV Die Stacks by Finite Element Analysis

Thermo-Mechanical Reliability Assessment of TSV Die Stacks by Finite Element Analysis Thermo-Mechanical Reliability Assessment of TSV Die Stacks by Finite Element Analysis Dr. Roland Irsigler, emens AG Corporate Technology, CT T P HTC Outline TSV SOLID µbump Stacking TSV application FEA

More information

Reliability of Interconnects in LED Lighting Assemblies Utilizing Metal Clad Printed Circuit Boards Stefano Sciolè BDM I.M.S.

Reliability of Interconnects in LED Lighting Assemblies Utilizing Metal Clad Printed Circuit Boards Stefano Sciolè BDM I.M.S. Reliability of Interconnects in LED Lighting Assemblies Utilizing Metal Clad Printed Circuit Boards Stefano Sciolè BDM I.M.S. Henkel Electronic Materials Agenda 1. Introduction 2. Motivation 3. Interconnect

More information

Cu Pillar Interconnect and Chip-Package-Interaction (CPI) for Advanced Cu Low K chip

Cu Pillar Interconnect and Chip-Package-Interaction (CPI) for Advanced Cu Low K chip EPRC 12 Project Proposal Cu Pillar Interconnect and Chip-Package-Interaction (CPI) for Advanced Cu Low K chip 15 th Aug 2012 Page 1 Introduction: Motivation / Challenge Silicon device with ultra low k

More information

Parametric Design and Reliability Analysis of Wire Interconnect Technology Wafer Level Packaging

Parametric Design and Reliability Analysis of Wire Interconnect Technology Wafer Level Packaging Y. T. Lin Graduate Assistant C. T. Peng Graduate Assistant K. N. Chiang Associate Professor e-mail: Knchiang@pme.nthu.edu.tw Dept. of Power Mechanical Engineering, National Tsing Hua University, HsinChu

More information

Reliability Challenges for 3D Interconnects:

Reliability Challenges for 3D Interconnects: Reliability Challenges for 3D Interconnects: A material and design perspective Paul S. Ho Suk-Kyu Ryu, Kuan H. (Gary) Lu, Qiu Zhao, Jay Im and Rui Huang The University of Texas at Austin 3D Sematech Workshop,

More information

3D Package Technologies Review with Gap Analysis for Mobile Application Requirements. Apr 22, 2014 STATS ChipPAC Japan

3D Package Technologies Review with Gap Analysis for Mobile Application Requirements. Apr 22, 2014 STATS ChipPAC Japan 3D Package Technologies Review with Gap Analysis for Mobile Application Requirements Apr 22, 2014 STATS ChipPAC Japan T.Nishio Contents Package trends and roadmap update Advanced technology update Fine

More information

Reliability Evaluation of CIF (chip-in-flex) and COF (chip-on-flex) packages

Reliability Evaluation of CIF (chip-in-flex) and COF (chip-on-flex) packages Reliability Evaluation of CIF (chip-in-flex) and COF (chip-on-flex) packages Jae-Won Jang* a, Kyoung-Lim Suk b, Kyung-Wook Paik b, and Soon-Bok Lee a a Dept. of Mechanical Engineering, KAIST, 335 Gwahangno

More information

CHARACTERISATION OF INTERFACIAL CRACKING IN MICROELECTRONIC PACKAGING

CHARACTERISATION OF INTERFACIAL CRACKING IN MICROELECTRONIC PACKAGING CHARACTERISATION OF INTERFACIAL CRACKING IN MICROELECTRONIC PACKAGING Ian McEnteggart Microelectronics Business Manager Instron Limited, Coronation Road, High Wycombe, Buckinghamshire HP12 3SY www.instron.com/microelectronics

More information

Field Condition Reliability Assessment for SnPb and SnAgCu Solder Joints in Power Cycling Including Mini Cycles

Field Condition Reliability Assessment for SnPb and SnAgCu Solder Joints in Power Cycling Including Mini Cycles Field Condition Reliability Assessment for SnPb and SnAgCu Solder Joints in Power Cycling Including Mini Cycles Min Pei 1, Xuejun Fan 2 and Pardeep K. Bhatti 2 1 Georgia Tech, 801 Ferst Dr. NW, Atlanta,

More information

"ewlb Technology: Advanced Semiconductor Packaging Solutions"

ewlb Technology: Advanced Semiconductor Packaging Solutions "ewlb Technology: Advanced Semiconductor Packaging Solutions" by Sharma Gaurav@, S.W. Yoon, Yap Yok Mian, Shanmugam Karthik, Yaojian Lin, Pandi C. Marimuthu and Yeong J. Lee* STATS ChipPAC Ltd. 5 Yishun

More information

IMPLEMENTATION OF A FULLY MOLDED FAN-OUT PACKAGING TECHNOLOGY

IMPLEMENTATION OF A FULLY MOLDED FAN-OUT PACKAGING TECHNOLOGY IMPLEMENTATION OF A FULLY MOLDED FAN-OUT PACKAGING TECHNOLOGY B. Rogers, C. Scanlan, and T. Olson Deca Technologies, Inc. Tempe, AZ USA boyd.rogers@decatechnologies.com ABSTRACT Fan-Out Wafer-Level Packaging

More information

Challenges and Solutions for Cost Effective Next Generation Advanced Packaging. H.P. Wirtz, Ph.D. MiNaPAD Conference, Grenoble April 2012

Challenges and Solutions for Cost Effective Next Generation Advanced Packaging. H.P. Wirtz, Ph.D. MiNaPAD Conference, Grenoble April 2012 Challenges and Solutions for Cost Effective Next Generation Advanced Packaging H.P. Wirtz, Ph.D. MiNaPAD Conference, Grenoble April 2012 Outline Next Generation Package Requirements ewlb (Fan-Out Wafer

More information

23 rd ASEMEP National Technical Symposium

23 rd ASEMEP National Technical Symposium THE EFFECT OF GLUE BOND LINE THICKNESS (BLT) AND FILLET HEIGHT ON INTERFACE DELAMINATION Raymund Y. Agustin Janet M. Jucar Jefferson S. Talledo Corporate Packaging & Automation/ Q&R STMicroelectronics,

More information

Simulation of Embedded Components in PCB Environment and Verification of Board Reliability

Simulation of Embedded Components in PCB Environment and Verification of Board Reliability Simulation of Embedded Components in PCB Environment and Verification of Board Reliability J. Stahr, M. Morianz AT&S Leoben, Austria M. Brizoux, A. Grivon, W. Maia Thales Global Services Meudon-la-Forêt,

More information

System Level Effects on Solder Joint Reliability

System Level Effects on Solder Joint Reliability System Level Effects on Solder Joint Reliability Maxim Serebreni 2004 2010 Outline Thermo-mechanical Fatigue of solder interconnects Shear and tensile effects on Solder Fatigue Effect of Glass Style on

More information

IN ELECTRONIC packaging, materials with different coefficients

IN ELECTRONIC packaging, materials with different coefficients 850 IEEE TRANSACTIONS ON COMPONENTS AND PACKAGING TECHNOLOGIES, VOL. 29, NO. 4, DECEMBER 2006 Analysis of Multilayered Microelectronic Packaging Under Thermal Gradient Loading Cemal Basaran, Member, IEEE,

More information

Achieving Warpage-Free Packaging: A Capped-Die Flip Chip Package Design

Achieving Warpage-Free Packaging: A Capped-Die Flip Chip Package Design Achieving Warpage-Free Packaging: A Capped-Die Flip Chip Package Design Yuci Shen *1, Leilei Zhang ** and Xuejun Fan * * Lamar University, Beaumont, Texas ** NVIDIA Corporation, Santa Clara, California

More information

On the failure path in shear-tested solder joints

On the failure path in shear-tested solder joints Microelectronics Reliability 47 (2007) 1300 1305 Research note On the failure path in shear-tested solder joints W.H. Moy, Y.-L. Shen * Department of Mechanical Engineering, University of New Mexico, Albuquerque,

More information

Investigation of Interface Delamination of EMC-Copper Interfaces in Molded Electronic packages

Investigation of Interface Delamination of EMC-Copper Interfaces in Molded Electronic packages Agenda Investigation of Interface Delamination of EMC-Copper Interfaces in Molded Electronic packages A.Yadur 1,2, P. Gromala 2, Dipl.-Ing. Axel Mueller 3 1Robert Bosch Engineering and Business Solutions

More information

Thermal Management of Die Stacking Architecture That Includes Memory and Logic Processor

Thermal Management of Die Stacking Architecture That Includes Memory and Logic Processor Thermal Management of Die Stacking Architecture That Includes Memory and Logic Processor Bhavani P. Dewan-Sandur, Abhijit Kaisare and Dereje Agonafer The University of Texas at Arlington, Box 19018, TX

More information

Flip-Chip Process Improvements for Low Warpage

Flip-Chip Process Improvements for Low Warpage Flip-Chip Process Improvements for Low Warpage Robert L. Hubbard Lambda Technologies, Inc. Morrisville, NC, USA bhubbard@microcure.com Pierino Zappella*, Pukun Zhu Henkel Corporation Irvine, CA, USA Abstract

More information

Topography and Deformation Measurement and FE Modeling Applied to substrate-mounted large area wafer-level packages (including stacked dice and TSVs)

Topography and Deformation Measurement and FE Modeling Applied to substrate-mounted large area wafer-level packages (including stacked dice and TSVs) Topography and Deformation Measurement and FE Modeling Applied to substrate-mounted large area wafer-level packages (including stacked dice and TSVs) M. Hertl Insidix, 24 rue du Drac, 38180 Grenoble/Seyssins,

More information

Chapter 4. Numerical analysis using finite element simulation

Chapter 4. Numerical analysis using finite element simulation Chapter 4. Numerical analysis using finite element simulation 4.1 Introduction to numerical analysis Humans divide almost everything into components for the purpose of gaining a better understanding: The

More information

SINCE the Pb-based solders have many advantages in cost,

SINCE the Pb-based solders have many advantages in cost, IEEE TRANSACTIONS ON COMPONENTS AND PACKAGING TECHNOLOGIES, VOL. 31, NO. 3, SEPTEMBER 2008 661 Numerical Investigation of Underfill Failure Due to Phase Change of Pb-Free Flip Chip Solders During Board-Level

More information

3D-WLCSP Package Technology: Processing and Reliability Characterization

3D-WLCSP Package Technology: Processing and Reliability Characterization 3D-WLCSP Package Technology: Processing and Reliability Characterization, Paul N. Houston, Brian Lewis, Fei Xie, Ph.D., Zhaozhi Li, Ph.D.* ENGENT Inc. * Auburn University ENGENT, Inc. 2012 1 Outline Packaging

More information

Materials Characterization for Stress Management

Materials Characterization for Stress Management Materials Characterization for Stress Management Ehrenfried Zschech, Fraunhofer IZFP Dresden, Germany Workshop on Stress Management for 3D ICs using TSVs San Francisco/CA, July 13, 2010 Outline Stress

More information

Flip-Chip Process Improvements for Low Warpage

Flip-Chip Process Improvements for Low Warpage Flip-Chip Process Improvements for Low Warpage Robert L. Hubbard Lambda Technologies, Inc. Morrisville, NC, USA bhubbard@microcure.com Pierino Zappella*, Pukun Zhu Henkel Corporation Irvine, CA, USA Abstract

More information

Advanced Analytical Techniques for Semiconductor Assembly Materials and Processes. Jason Chou and Sze Pei Lim Indium Corporation

Advanced Analytical Techniques for Semiconductor Assembly Materials and Processes. Jason Chou and Sze Pei Lim Indium Corporation Advanced Analytical Techniques for Semiconductor Assembly Materials and Processes Jason Chou and Sze Pei Lim Indium Corporation Agenda Company introduction Semiconductor assembly roadmap challenges Fine

More information

Silicon Wafer Processing PAKAGING AND TEST

Silicon Wafer Processing PAKAGING AND TEST Silicon Wafer Processing PAKAGING AND TEST Parametrical test using test structures regularly distributed in the wafer Wafer die test marking defective dies dies separation die fixing (not marked as defective)

More information

Copyright 2009 Year IEEE. Reprinted from 2009 Electronic Components and Technology Conference. Such permission of the IEEE does not in any way imply

Copyright 2009 Year IEEE. Reprinted from 2009 Electronic Components and Technology Conference. Such permission of the IEEE does not in any way imply Copyright 2009 Year IEEE. Reprinted from 2009 Electronic Components and Technology Conference. Such permission of the IEEE does not in any way imply IEEE endorsement of any of Institute of Microelectronics

More information

Flip Chip - Integrated In A Standard SMT Process

Flip Chip - Integrated In A Standard SMT Process Flip Chip - Integrated In A Standard SMT Process By Wilhelm Prinz von Hessen, Universal Instruments Corporation, Binghamton, NY This paper reviews the implementation of a flip chip product in a typical

More information

Advanced Low Dielectric Constant Materials Learning and Perspectives

Advanced Low Dielectric Constant Materials Learning and Perspectives Advanced Low Dielectric onstant Materials Learning and Perspectives Geraud Dubois IBM Almaden Research enter, San Jose, A Department of Materials Science and Engineering, Stanford University, A 2 4/26/2018

More information

Power Electronics Packaging Solutions for Device Junction Temperature over 220 o C

Power Electronics Packaging Solutions for Device Junction Temperature over 220 o C EPRC 12 Project Proposal Power Electronics Packaging Solutions for Device Junction Temperature over 220 o C 15 th August 2012 Page 1 Motivation Increased requirements of high power semiconductor device

More information

Introduction to Joining Processes

Introduction to Joining Processes 4. TEST METHODS Joints are generally designed to support a load, and must be tested to evaluate their load-supporting capabilities. However, it is also important to evaluate, not the joint, but rather

More information

Chips Face-up Panelization Approach For Fan-out Packaging

Chips Face-up Panelization Approach For Fan-out Packaging Chips Face-up Panelization Approach For Fan-out Packaging Oct. 15, 2015 B. Rogers, D. Sanchez, C. Bishop, C. Sandstrom, C. Scanlan, TOlson T. REV A Background on FOWLP Fan-Out Wafer Level Packaging o Chips

More information

Plasma for Underfill Process in Flip Chip Packaging

Plasma for Underfill Process in Flip Chip Packaging Plasma for Underfill Process in Flip Chip Packaging Jack Zhao and James D. Getty Nordson MARCH 2470-A Bates Avenue Concord, California 94520-1294 USA Published by Nordson MARCH www.nordsonmarch.com 2015

More information

Cree EZ-p LED Chips Handling and Packaging Recommendations

Cree EZ-p LED Chips Handling and Packaging Recommendations Cree EZ-p LED Chips Handling and Packaging Recommendations INTRODUCTION This application note provides the user with an understanding of Cree s EZ-p p-pad up (anode up) LED devices, as well as recommendations

More information

An Advanced Reliability Improvement and Failure Analysis Approach to Thermal Stress Issues in IC Packages

An Advanced Reliability Improvement and Failure Analysis Approach to Thermal Stress Issues in IC Packages An Advanced Reliability Improvement and Failure Analysis Approach to Thermal Stress Issues in IC Packages Michael Hertl 1, Diane Weidmann 1, and Alex Ngai 2 1 Insidix, 24 rue du Drac, F-38180 Grenoble/Seyssins,

More information

Automotive Electronic Material Challenges. Anitha Sinkfield, Delphi

Automotive Electronic Material Challenges. Anitha Sinkfield, Delphi Automotive Electronic Material Challenges Anitha Sinkfield, Delphi Automotive Electronic Material Challenges Project Update About inemi Project Participants Problem Statement Project Details Summary and

More information

Embedded Cooling Solutions for 3D Packaging

Embedded Cooling Solutions for 3D Packaging IME roprietary ERC 12 roject roposal Embedded Cooling Solutions for 3D ackaging 15 th August 2012 age 1 Technology & ower Dissipation Trends IME roprietary Cannot continue based on Moore s law scaling

More information

Cost effective 300mm Large Scale ewlb (embedded Wafer Level BGA) Technology

Cost effective 300mm Large Scale ewlb (embedded Wafer Level BGA) Technology Cost effective 300mm Large Scale ewlb (embedded Wafer Level BGA) Technology by Meenakshi Prashant, Seung Wook Yoon, Yaojian LIN and Pandi C. Marimuthu STATS ChipPAC Ltd. 5 Yishun Street 23, Singapore 768442

More information

Underfill Selection for Large Body (50x50mm) Lidded Flip Chip BGA Package with ELK 40nm Pb-free Bumps

Underfill Selection for Large Body (50x50mm) Lidded Flip Chip BGA Package with ELK 40nm Pb-free Bumps Underfill Selection for Large Body (50x50mm) Lidded Flip Chip BGA Package with ELK 40nm Pb-free Bumps by Peng SUN, Vivian ZHANG, Rocky XU, Tonglong ZHANG STATS ChipPAC (Shanghai) Co., Ltd. 188, Huaxu Road,

More information

White Paper Quality and Reliability Challenges for Package on Package. By Craig Hillman and Randy Kong

White Paper Quality and Reliability Challenges for Package on Package. By Craig Hillman and Randy Kong White Paper Quality and Reliability Challenges for Package on Package By Craig Hillman and Randy Kong Background Semiconductor technology advances have been fulfilling Moore s law for many decades. However,

More information

Freescale Semiconductor Tape Ball Grid Array (TBGA) Overview

Freescale Semiconductor Tape Ball Grid Array (TBGA) Overview Freescale Semiconductor Tape Ball Grid Array (TBGA) Overview Revision 0 2006 Freescale and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are the

More information

Low Cycle Fatigue Testing of Ball Grid Array Solder Joints under Mixed-Mode Loading Conditions

Low Cycle Fatigue Testing of Ball Grid Array Solder Joints under Mixed-Mode Loading Conditions Tae-Sang Park Mechatronics & Manufacturing Technology Center, Corporate Technology Operations, Samsung Electronics Co., LTD, 416, Maetan-3Dong, Yeongtong-Gu, Suwon-City, Gyeonggi-Do, 443-742, Korea e-mail:

More information

Xilinx CN Package Qualification Updates for MRQW 2015 Kangsen Huey Space Product Marketing Manager January, 2014

Xilinx CN Package Qualification Updates for MRQW 2015 Kangsen Huey Space Product Marketing Manager January, 2014 Xilinx CN Package Qualification Updates for MRQW 2015 Kangsen Huey Space Product Marketing Manager January, 2014 CF (IBM) vs CN (Kyocera) Packages Page 2 Comparison between IBM (CF) and Kyocera (CN) Packages

More information

Bridging Supply Chain Gap for Exempt High-Reliability OEM s

Bridging Supply Chain Gap for Exempt High-Reliability OEM s Bridging Supply Chain Gap for Exempt High-Reliability OEM s Hal Rotchadl hrotchadl@premiers2.com www.premiers2.com Premier Semiconductor Services Tempe, AZ RoHS exempt high reliability OEMs breathed a

More information

SMU 2113 ENGINEERING SCIENCE. PART 1 Introduction to Mechanics of Materials and Structures

SMU 2113 ENGINEERING SCIENCE. PART 1 Introduction to Mechanics of Materials and Structures SMU 2113 ENGINEERING SCIENCE PART 1 Introduction to Mechanics of Materials and Structures These slides are designed based on the content of these reference textbooks. OBJECTIVES To introduce basic principles

More information

Panel Discussion: Advanced Packaging

Panel Discussion: Advanced Packaging Dr. Steve Bezuk Senior Director IC Packaging Engineering Qualcomm Technologies, Inc. Panel Discussion: Advanced Packaging PAGE 1 Technical Challenges of Packaging (Mobile Focus) Materials Die materials

More information

Mixed Pitch BGA (mpbga) Packaging Development for High Bandwidth-High Speed Networking Devices

Mixed Pitch BGA (mpbga) Packaging Development for High Bandwidth-High Speed Networking Devices Mixed Pitch BGA (mpbga) Packaging Development for High Bandwidth-High Speed Networking Devices by John Savic*, Mohan Nagar*, Weidong Xie*, Mudasir Ahmad*, David Senk*, Anurag Bansal* *Cisco Systems Nokibul

More information

A Solder Joint Reliability Model for the Philips Lumileds LUXEON Rebel LED Carrier Using Physics of Failure Methodology

A Solder Joint Reliability Model for the Philips Lumileds LUXEON Rebel LED Carrier Using Physics of Failure Methodology A Solder Joint Reliability Model for the Philips Lumileds LUXEON Rebel LED Carrier Using Physics of Failure Methodology Rudi Hechfellner 1, Michiel Kruger 1, Tewe Heemstra 2 Greg Caswell 3, Nathan Blattau

More information

178 IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 7, NO. 2, FEBRUARY 2017

178 IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 7, NO. 2, FEBRUARY 2017 178 IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 7, NO. 2, FEBRUARY 2017 Experimental and Theoretical Assessment of Thin Glass Substrate for Low Warpage Scott McCann, Vanessa

More information

Adhesion and Electromigration in Cu Interconnect. Jim Lloyd, Michael Lane and Eric Liniger. Yorktown Heights, NY 10598

Adhesion and Electromigration in Cu Interconnect. Jim Lloyd, Michael Lane and Eric Liniger. Yorktown Heights, NY 10598 Adhesion and Electromigration in Cu Interconnect Jim Lloyd, Michael Lane and Eric Liniger Yorktown Heights, NY 10598 Adhesion and Electromigration Cu and Al act very differently with respect to electromigration

More information

Nonlinear Models of Reinforced and Post-tensioned Concrete Beams

Nonlinear Models of Reinforced and Post-tensioned Concrete Beams 111 Nonlinear Models of Reinforced and Post-tensioned Concrete Beams ABSTRACT P. Fanning Lecturer, Department of Civil Engineering, University College Dublin Earlsfort Terrace, Dublin 2, Ireland. Email:

More information

Reliability in Large Area Solder Joint Assemblies and Effects of Thermal Expansion Mismatch and Die Sizen

Reliability in Large Area Solder Joint Assemblies and Effects of Thermal Expansion Mismatch and Die Sizen Reliability in Large Area Solder Joint Assemblies and Effects of Thermal Expansion Mismatch and Die Sizen Jun He, W. L. Morris, M. C. Shaw, J. C. Mather* and N. Sridhar Rockwell Science Center 1049 Camino

More information

Bearing and Delamination Failure Analysis of Pin Loaded Composite Laminates

Bearing and Delamination Failure Analysis of Pin Loaded Composite Laminates Volume 6, No. 2, February 217 1 Bearing and Delamination Failure Analysis of Pin Loaded Composite Laminates V. Dinesh Babu, Professor, Nehru Institute of Engineering and Technology, Coimbatore T. Sivagangai,

More information

IME Technical Proposal. High Density FOWLP for Mobile Applications. 22 April High Density FOWLP Consortium Forum

IME Technical Proposal. High Density FOWLP for Mobile Applications. 22 April High Density FOWLP Consortium Forum IME Technical Proposal High Density FOWLP for Mobile Applications 22 April 2014 Packaging driver for portable / mobile applications Key drivers/needs Smaller form-factor lower profile, substrate-less Higher

More information

Electromigration in Flip Chip Solder Joints

Electromigration in Flip Chip Solder Joints Electromigration in Flip Chip Solder Joints K.N. Tu Dept. of Materials Science & Engineering, UCLA 1. Introduction 2. Why does electromigration in solder joint become a reliability problem? 3. Electromigration

More information

WorkShop Audace. INSA ROUEN 8 juin 2012

WorkShop Audace. INSA ROUEN 8 juin 2012 WorkShop Audace INSA ROUEN 8 juin 2012 Global Standards for the Microelectronics Industry JEDEC standards for product level qualification Christian Gautier Content JEDEC overview Environmental reliability

More information

Flip-Chip Underfill: Materials, Process and Reliability

Flip-Chip Underfill: Materials, Process and Reliability Flip-Chip Underfill: Materials, Process and Reliability Zhuqing Zhang 1, PhD and C.P. Wong 2, PhD 1 Hewlett-Packard Company, Corvallis, OR 97330 2 School of Materials Science and Engineering & Packaging

More information

Analog Devices ADSP KS-160 SHARC Digital Signal Processor

Analog Devices ADSP KS-160 SHARC Digital Signal Processor Construction Analysis Analog Devices ADSP-21062-KS-160 SHARC Digital Signal Processor Report Number: SCA 9712-575 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale,

More information

Effects of Bi Content on Mechanical Properties and Bump Interconnection Reliability of Sn-Ag Solder Alloys

Effects of Bi Content on Mechanical Properties and Bump Interconnection Reliability of Sn-Ag Solder Alloys Effects of Bi Content on Mechanical Properties and Bump Interconnection Reliability of Sn-Ag Solder Kazuki Tateyama, Hiroshi Ubukata*, Yoji Yamaoka*, Kuniaki Takahashi*, Hiroshi Yamada** and Masayuki Saito

More information

Semiconductor IC Packaging Technology Challenges: The Next Five Years

Semiconductor IC Packaging Technology Challenges: The Next Five Years SPAY025 May 2006 White Paper Mario A. Bolanos, Director Semiconductor Group Packaging Technology Development, Texas Instruments In the era of communications and entertainment, growth of consumer electronics

More information

Board Level Reliability Improvement in ewlb (Embedded Wafer Level BGA) Packages

Board Level Reliability Improvement in ewlb (Embedded Wafer Level BGA) Packages Board Level Reliability Improvement in ewlb (Embedded Wafer Level BGA) Packages by Seng Guan Chow, Yaojian Lin, Bernard Adams * and Seung Wook Yoon** STATS ChipPAC Ltd. 5 Yishun Street 23, Singapore 768442

More information

Package Design Optimization and Materials Selection for Stack Die BGA Package

Package Design Optimization and Materials Selection for Stack Die BGA Package Package Design Optimization and Materials Selection for Stack Die BGA Package Rahul Kapoor, Lim Beng Kuan, Liu Hao United Test & Assembly Center Ltd (UTAC) 5 Serangoon North Ave 5, Singapore 554916 Email:

More information

Jeong et al.: Effect of the Formation of the Intermetallic Compounds (1/7)

Jeong et al.: Effect of the Formation of the Intermetallic Compounds (1/7) Jeong et al.: Effect of the Formation of the Intermetallic Compounds (1/7) Effect of the Formation of the Intermetallic Compounds between a Tin Bump and an Electroplated Copper Thin Film on both the Mechanical

More information

Rockwell R RF to IF Down Converter

Rockwell R RF to IF Down Converter Construction Analysis Rockwell R6732-13 RF to IF Down Converter Report Number: SCA 9709-552 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780

More information

Advanced Copper Column Based Solder Bump for Flip Chip Interconnection

Advanced Copper Column Based Solder Bump for Flip Chip Interconnection Advanced Copper Column ased Solder ump for Flip Chip Interconnection Advanced Copper Column ased Solder ump for Flip Chip Interconnection Hiroshi Yamada, Takashi Togasaki, Kazuki Tateyama, and Kazuhito

More information

IPC-AJ-820A Assembly and Joining Handbook. The How and Why of All Things PCB & PCA

IPC-AJ-820A Assembly and Joining Handbook. The How and Why of All Things PCB & PCA IPC-AJ-820A Assembly and Joining Handbook The How and Why of All Things PCB & PCA 1 Scope To provide guidelines and supporting info for the mfg of electronic equipment To explain the HOW TO and WHY Discussions

More information

1 Thin-film applications to microelectronic technology

1 Thin-film applications to microelectronic technology 1 Thin-film applications to microelectronic technology 1.1 Introduction Layered thin-film structures are used in microelectronic, opto-electronic, flat panel display, and electronic packaging technologies.

More information

Effect of Encapsulation Materials on Tensile Stress during Thermo-Mechanical Cycling of Pb-Free Solder Joints

Effect of Encapsulation Materials on Tensile Stress during Thermo-Mechanical Cycling of Pb-Free Solder Joints Effect of Encapsulation Materials on Tensile Stress during Thermo-Mechanical Cycling of Pb-Free Solder Joints Maxim Serebreni, Dr. Nathan Blattau, Dr. Gilad Sharon, Dr. Craig Hillman DfR Solutions Beltsville,

More information

FEA and Experimental Studies of Adaptive Composite Materials with SMA Wires

FEA and Experimental Studies of Adaptive Composite Materials with SMA Wires FEA and Experimental Studies of Adaptive Composite Materials with SMA Wires K.Kanas, C.Lekakou and N.Vrellos Abstract This study comprises finite element simulations and experimental studies of the shape

More information

Interlayer Dielectric (ILD) Cracking Mechanisms and their Effects on Probe Processes. Daniel Stillman, Daniel Fresquez Texas Instruments Inc.

Interlayer Dielectric (ILD) Cracking Mechanisms and their Effects on Probe Processes. Daniel Stillman, Daniel Fresquez Texas Instruments Inc. Interlayer Dielectric (ILD) Cracking Mechanisms and their Effects on Probe Processes Daniel Stillman, Daniel Fresquez Texas Instruments Inc. Outline Probe Optimization Why is it needed? Objective and obstacles

More information

ABSTRACT. Stephen Junho Kang Master of Science Current microelectronic packages consist of multilayer systems. Adhesion

ABSTRACT. Stephen Junho Kang Master of Science Current microelectronic packages consist of multilayer systems. Adhesion ABSTRACT Title of Document: ADHESION STRENGTH MEASUREMENT OF MULTILAYER STRUCTURES WITH VERTICAL CRACK BY FOUR POINT BENDING TEST Stephen Junho Kang Master of Science 2014 Directed By: Professor Bongtae

More information

Development of Multi Chip Modules for Extreme Environments. Hyun Joong Lee

Development of Multi Chip Modules for Extreme Environments. Hyun Joong Lee Development of Multi Chip Modules for Extreme Environments by Hyun Joong Lee A thesis submitted to the Graduate Faculty of Auburn University in partial fulfillment of the requirements for the Degree of

More information

Study of Cracking of Thin Glass Interposers Intended for Microelectronic Packaging Substrates

Study of Cracking of Thin Glass Interposers Intended for Microelectronic Packaging Substrates Study of Cracking of Thin Glass Interposers Intended for Microelectronic Packaging Substrates Scott R. McCann 1,2, Yoichiro Sato 3, Venkatesh Sundaram 1,4, Rao R. Tummala 1,4,5, and Suresh K. Sitaraman

More information

Evaluation of Cu Pillar Chemistries

Evaluation of Cu Pillar Chemistries Presented at 2016 IMAPS Device Packaging Evaluation of Cu Pillar Chemistries imaps Device Packaging Conference Spring 2016 Matthew Thorseth, Mark Scalisi, Inho Lee, Sang-Min Park, Yil-Hak Lee, Jonathan

More information

Micro-tube insertion into aluminum pads: Simulation and experimental validations

Micro-tube insertion into aluminum pads: Simulation and experimental validations Micro-tube insertion into aluminum pads: Simulation and experimental validations A. Bedoin, B. Goubault, F. Marion, M. Volpert, F. Berger, A. Gueugnot, H. Ribot CEA, LETI, Minatec Campus 17, rue des Martyrs

More information

NON-LINEAR FEM ANALYSIS FOR CES SHEAR WALLS

NON-LINEAR FEM ANALYSIS FOR CES SHEAR WALLS 1NCEE Tenth U.S. National Conference on Earthquake Engineering Frontiers of Earthquake Engineering July 21-25, 214 Anchorage, Alaska NON-LINEAR FEM ANALYSIS FOR CES SHEAR WALLS S. SUZUKI 1, H. KURAMOTO

More information

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development TSV Processing and Wafer Stacking Kathy Cook and Maggie Zoberbier, 3D Business Development Outline Why 3D Integration? TSV Process Variations Lithography Process Results Stacking Technology Wafer Bonding

More information

Innovative Substrate Technologies in the Era of IoTs

Innovative Substrate Technologies in the Era of IoTs Innovative Substrate Technologies in the Era of IoTs Dyi- Chung Hu 胡迪群 September 4, 2015 Unimicron Contents Introduction Substrate Technology - Evolution Substrate Technology - Revolution Glass substrate

More information

Novel Materials and Activities for Next Generation Package. Hitachi Chemical., Co.Ltd. Packaging Solution Center Hiroaki Miyajima

Novel Materials and Activities for Next Generation Package. Hitachi Chemical., Co.Ltd. Packaging Solution Center Hiroaki Miyajima Novel Materials and Activities for Next Generation Package Hitachi Chemical., Co.Ltd. Packaging Solution Center Hiroaki Miyajima 1. Activities of Packaging Solution Center 2. Novel Materials for Next Gen.

More information

curamik CERAMIC SUBSTRATES AMB technology Design Rules Version #04 (09/2015)

curamik CERAMIC SUBSTRATES AMB technology Design Rules Version #04 (09/2015) curamik CERAMIC SUBSTRATES AMB technology Design Rules Version #04 (09/2015) Content 1. Geometric properties 1.01. Available ceramic types / thicknesses... 03 1.02. thicknesses (standard)... 03 3. Quality

More information

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials Interconnects Outline Interconnect scaling issues Aluminum technology Copper technology 1 Properties of Interconnect Materials Metals Silicides Barriers Material Thin film Melting resistivity point ( C)

More information

3D Wirebondless IGBT Module for High Power Applications Dr. Ziyang GAO Jun. 20, 2014

3D Wirebondless IGBT Module for High Power Applications Dr. Ziyang GAO Jun. 20, 2014 3D Wirebondless IGBT Module for High Power Applications Dr. Ziyang GAO Jun. 20, 2014 1 1 Outline Background Information Technology Development Trend Technical Challenges ASTRI s Solutions Concluding Remarks

More information

Failure Modes in Wire bonded and Flip Chip Packages

Failure Modes in Wire bonded and Flip Chip Packages Failure Modes in Wire bonded and Flip Chip Packages Mumtaz Y. Bora Peregrine Semiconductor San Diego, Ca. 92121 mbora@psemi.com Abstract The growth of portable and wireless products is driving the miniaturization

More information

Recent Advances in Die Attach Film

Recent Advances in Die Attach Film Recent Advances in Die Attach Film Frederick Lo, Maurice Leblon, Richard Amigh, and Kevin Chung. AI Technology, Inc. 70 Washington Road, Princeton Junction, NJ 08550 www.aitechnology.com Abstract: The

More information

Study on Mixed Mode Crack-tip Plastic Zones in CTS Specimen

Study on Mixed Mode Crack-tip Plastic Zones in CTS Specimen Proceedings of the World Congress on Engineering Vol II WCE, July -,, London, U.K. Study on Mixed Mode Crack-tip Plastic Zones in Specimen C. M. Sharanaprabhu, S. K. Kudari Member, IAENG Abstract The studies

More information