I. INTRODUCTION. Horyeong Lee 1, Meng Li 1, Jungwoo Oh 2, and Hi-Deok Lee 1,* Schottky diode, effective Schottky barrier height, n- channel MOSFETs

Size: px
Start display at page:

Download "I. INTRODUCTION. Horyeong Lee 1, Meng Li 1, Jungwoo Oh 2, and Hi-Deok Lee 1,* Schottky diode, effective Schottky barrier height, n- channel MOSFETs"

Transcription

1 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.15, NO.1, FEBRUARY, A Study of the Dependence of Effective Schottky Barrier Height in Ni Silicide/n-Si on the Thickness of the Antimony Interlayer for High Performance n-channel MOSFETs Horyeong Lee 1, Meng Li 1, Jungwoo Oh 2, and Hi-Deok Lee 1,* Abstract In this paper, the effective electron Schottky barrier height (Ф Bn ) of the Ni silicide/nsilicon (100) interface was studied in accordance with different thicknesses of the antimony (Sb) interlayer for high performance n-channel MOSFETs. The Sb interlayers, varying its thickness from 2 nm to 10 nm, were deposited by radio frequency (RF) sputtering on lightly doped n-type Si (100), followed by the in situ deposition of Ni/TiN (15/10 nm). It is found that the sample with a thicker Sb interlayer shows stronger ohmic characteristics than the control sample without the Sb interlayer. These results show that the effective Ф Bn is considerably lowered by the influence of the Sb interlayer. However, the current level difference between Schottky diodes fabricated with Sb/Ni/TiN (8/15/10 nm) and Sb/Ni/TiN (10/15/10 nm) structures is almost same. Therefore, considering the process time and cost, it can be said that the optimal thickness of the Sb interlayer is 8 nm. The effective Ф Bn of ev was achieved for the Schottky diode with Sb/Ni/TiN (8/15/10 nm) structure. Therefore, this technology is suitable for high performance n-channel MOSFETs. Index Terms Nckel silicide, antimony interlayer, Manuscript received Aug. 25, 2014; accepted Nov. 13, 2014 A part of this work was presented in Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices, Kanazawa in Japan, July Dep. Electronics Engineering, Chungnam National Univ., Daejeon, Korea. 2 Dep. School of Integrated Technology, Yonsei Univ., Incheon, Korea * hdlee@cnu.ac.kr, Tel : Schottky diode, effective Schottky barrier height, n- channel MOSFETs I. INTRODUCTION Over the last several decades, metal oxide semiconductor field effect transistors (MOSFETs) have been continuously scaled down to improve device performance and to reduce the device cost per unit wafer area. As the channel length of MOSFETs has been scaled down to sub-0.1 μm, the ultra-shallow source/drain (S/D) junction depth has been required to suppress short channel effects (SCEs) [1-3]. However, ultra-shallow S/D junction increases the sheet resistance (R sh ), which can degrade device performance [4]. To solve this problem, silicides have been used to reduce R sh in the S/D regions [5, 6]. Nickel silicide (NiSi) is one of the candidates, which has recently gained great attention. NiSi has a low resistivity (14-16 μω-cm) and consumes less silicon than other silicides like titanium silicide (TiSi 2 ) and cobalt silicide (CoSi 2 ) [7, 8]. Therefore, it is suitable in ultra-shallow S/D junctions. However, the relative contribution of contact resistance (R c ) to S/D series resistance (R series ) has significantly increased as devices have undergone extreme scaling [3, 9]. Thus, decreasing R c has become one of the issues for high performance MOSFETs. R c at the silicide/silicon (Si) interface has been reported to be closely related to the effective Schottky barrier height (Ф B ) [3]. For this reason, it is also important to reduce the effective Ф B at the silicide/si interface in S/D regions. In this paper, we describe a method to reduce the

2 42 HORYEONG LEE et al : A STUDY OF THE DEPENDENCE OF EFFECTIVE SCHOTTKY BARRIER HEIGHT IN NI SILICIDE/N-SI ON n-si wafer (100) TiN Ni Sb Cleaning ( HF:H 2 O (1:100) ) Thermal Oxidation ( 100 nm ) SiO 2 SiO 2 Patterning - Photolithography to form square-shaped patterns - Etching of SiO 2 ( BHF ) n-si (100) (a) Deposition of Metal Layers ( RF sputter ) - Ni/TiN ( 15/10 nm ) - Sb/Ni/TiN ( 2/15/10 nm ) - Sb/Ni/TiN ( 4/15/10 nm ) - Sb/Ni/TiN ( 6/15/10 nm ) - Sb/Ni/TiN ( 8/15/10 nm ) - Sb/Ni/TiN ( 10/15/10 nm ) Silicidation ( RTA : 400 o C, 60 sec ) Selective Wet Etching - H 2 SO 4 :H 2 O 2 (4:1) : 90 o C, 15 min Back-side Al Deposition ( RF sputter ) Fig. 1. Process flow of Schottky diode fabrication to analyze and extract effective Ф Bn. effective electron Schottky barrier height (Ф Bn ) at the NiSi/n-Si(100) interface using an antimony (Sb) interlayer. From the current-voltage (I-V) characteristics of the fabricated Schottky diode, the dependence of the effective Ф Bn on Sb interlayer thickness was analyzed. The activation energy measurement method was used to extract a very low effective Ф Bn. An effective Ф Bn reduction mechanism was investigated for Ni silicide formed on n-si with Sb segregation. II. EXPERIMENT To analyze effective Ф Bn, Schottky diodes were fabricated in the process flow summarized in Fig. 1. Lightly doped n-type Si (100) wafers with a resistivity of 8-28 Ω-cm were used for the experiment. 100 nm thick silicon oxide (SiO 2 ) was grown by thermal oxidation at 1000 o C for 2 hours after cleaning using diluted hydrofluoric solution to remove native oxide. To form square diode patterns, photolithography was followed by SiO 2 wet etching. A buffered HF (NH 4 F:HF=6:1) wet etching was used to remove 100 nm of thermal SiO 2. After patterning, Sb of various thicknesses (2, 4, 6, 8 and 10 nm) was deposited using radio frequency (RF) sputtering, followed by in situ deposition of Ni/TiN SiO 2 SiO 2 NiSi Segregated Sb n-si (100) (b) Al back side (100 nm) Fig. 2. (a) As-deposited layer structure before silicidation, (b) Expected layer structure after silicidation and selective wet etching, followed by aluminum (Al) deposition on the back side. (15/10 nm) (see Fig. 2(a)). Ni/TiN without the Sb interlayer was also deposited as the control sample. Titanium nitride (TiN) was used as a capping layer in this experiment. After silicidation by rapid thermal annealing (RTA) at 400 o C for 60 sec to form NiSi, a selective wet etching was carried out to remove the unreacted metal and TiN capping layer with a sulfuric acid solution (H 2 SO 4 :H 2 O 2 =4:1) at 90 o C for 15 min (see Fig. 2(b)). As a last process step, 100 nm thick aluminum (Al) film was deposited by RF sputtering to form the back side electrode. The I-V measurements were performed using an Agilent 4155C to analyze the Schottky diode I-V characteristics. To extract the very low effective Ф Bn, the activation energy measurement method was used. Field emission scanning electron microscopy (FE-SEM) and X-ray diffraction (XRD) were used to analyze how well the Ni silicide was formed. Secondary ion mass spectroscopy (SIMS) was carried out to examine the distribution of Sb after silicidation. III. RESULTS AND DISCUSSION Before fabricating the Schottky diodes, rapid thermal annealing (RTA) windows were plotted to find the best silicidation condition for all samples as shown in Fig. 3. To identify RTA windows, the Ni/TiN and Sb/Ni/TiN were deposited on lightly doped n-si by RF sputtering

3 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.15, NO.1, FEBRUARY, (b) (a) [ Units : nm ] Ni/TiN(15/10) Sb/Ni/TiN(2/15/10) Sb/Ni/TiN(4/15/10) Sb/Ni/TiN(6/15/10) Sb/Ni/TiN(8/15/10) Sb/Ni/TiN(10/15/10) 100 nm 30 Ni/TiN(15/10nm) Sb/Ni/TiN(2/15/10nm) (c) (d) Sb/Ni/TiN(4/15/10nm) Sb/Ni/TiN(6/15/10nm) (e) (f) Sb/Ni/TiN(8/15/10nm) Sb/Ni/TiN(10/15/10nm) Fig. 4. FE-SEM cross-sectional images of Ni silicide (a) Ni/TiN, (b)-(f) Sb/Ni/TiN with s split of Sb thickness. Nickel Silicide (NiSi) (112) RTA 400 oc, 60 sec (301) like the Schottky diode samples. Then RTA for silicidation was carried out at oC for 60 sec, followed by selective wet etching with a sulfuric acid solution. For all fabricated test samples, Rsh was determined by a four-point probe measurement method and plotted as a function of silicidation temperature. The reference Ni/TiN (15/10 nm) structure maintained a low Rsh in throughout the silicidation temperature range. We can see similar characteristics in Sb/Ni/TiN structures with a 2 and 4 nm Sb interlayer. However, The Rsh of Sb/Ni/TiN structures with the 6, 8 and 10 nm Sb interlayer abruptly increased at 600oC. Because all samples maintained low Rsh at 400oC, Ni silicide was formed at 400oC for 60 sec to fabricate Schottky diodes. The morphological analysis of Ni silicide formed at a 400oC, 60 sec condition was performed using FE-SEM as shown in Fig. 4. All samples show good interface characteristics without agglomeration. From these results, we can speculate that Ni silicide is uniformly formed at this silicidation condition although the Sb interlayer exists at the Ni silicide/n-si interface. The resistivity of Ni mono-silicide (NiSi) is about 1520 μω-cm, while the resistivity of Ni di-silicide (NiSi2) is about 3 times higher than that of Ni mono-silicide [10, 11]. Therefore, it is important to form NiSi, not NiSi2. In Fig. 5, we can see that all XRD peaks correspond to the Ni mono-silicide phase. In other words, all Ni silicides formed by the Ni/TiN (15/10 nm) and Sb/Ni/TiN (8/15/10 nm) structures have the Ni mono-silicide phase. Although their intensities show a little difference, the (121) (103) Fig. 3. RTA window plots of Rsh as a function of silicidation temperature. (111) (hkl) As Dep. 300 o RTA Temperature [ C] (200) 0 Intensity (a. u.) Sheet Resistance [Ohm/Sq.] Ni/TiN (15/10 nm) Sb/Ni/TiN (8/15/10 nm) θ (o) Fig. 5. XRD analysis to confirm the formation of Ni monosilicide (NiSi). peaks of the Ni silicides formed by the two structures represent the same crystal-orientation. From these results, it was found that Sb interlayer does not substantially affect the formation of Ni mono-silicide with low resistivity. Fig. 6 shows the I-V characteristics of Schottky diodes fabricated by the process flow as shown Fig. 1. All measurements were performed at room temperature. In the control sample without the Sb interlayer, typical

4 44 HORYEONG LEE et al : A STUDY OF THE DEPENDENCE OF EFFECTIVE SCHOTTKY BARRIER HEIGHT IN NI SILICIDE/N-SI ON Current Density [A/cm 2 ] Sb/Ni/TiN(6/15/10nm) Sb/Ni/TiN(4/15/10nm) Sb/Ni/TiN(2/15/10nm) Ni/TiN(15/10nm) NiSi/n-Si(100) Reverse Bias Sb/Ni/TiN(8/15/10nm) Sb/Ni/TiN(10/15/10nm) [ Units : nm ] Ni/TiN(15/10) Sb/Ni/TiN(2/15/10) Sb/Ni/TiN(4/15/10) Sb/Ni/TiN(6/15/10) Sb/Ni/TiN(8/15/10) Sb/Ni/TiN(10/15/10) Forward Bias Applied Voltage [V] Fig. 6. The current-voltage (I-V) characteristics of the fabricated Schottky diodes. Table 1. Current density of the fabricated Schottky diodes at -1 V and 1 V Schottky diode characteristic is observed, indicating that the effective Ф Bn is high. The effective Ф Bn of the control sample is about 0.58 ev, which is extracted from the I-V curve in Fig. 6 using the thermionic equation for Schottky diodes [12]. In contrast, the samples with the Sb interlayer show higher current than the control sample, especially in reverse bias region. As the Sb interlayer becomes thicker, the current level of the samples with the Sb interlayer increases and the differences between the forward and reverse currents become comparable as if ohmic contact is formed as shown in Table 1. These results show that the effective Ф Bn is significantly lower than that in the control sample. But the current level difference between Schottky diodes fabricated with Sb/Ni/TiN (8/15/10 nm) and Sb/Ni/TiN (10/15/10 nm) structures is almost the same. That is, it can be said that the reduction of the effective Ф Bn becomes saturated at the thickness of 8 nm. Therefore, considering the process time and cost, it can be concluded that the optimal value of the Sb interlayer thickness is 8 nm. To extract the effective Ф Bn, the activation energy I F /T 2 [A/K 2 ] 10-8 V F = 0.08V V F = 0.10V V F = 0.02V V F = 0.04V V F = 0.06V measurement method was used for the Sb/Ni/TiN structure with 8 nm Sb interlayer. Fig. 7 shows the activation energy characteristics measured in a temperature range from 113 to 173 K at different forward voltages (0.02 to 0.1 V). The effective Ф Bn was extracted from the slopes of the curves using Eq. (1) [12], 1000/T [K -1 ] Fig. 7. Activation energy plots to extract low effective Ф Bn of the Schottky diode fabricated using Sb/Ni/TiN structure with an 8 nm Sb interlayer. Si, Ni Intensity [counts/sec] NiSi/n-Si interface Ni 10 2 Si Sb 10 1 Ni Sb Depth [nm] = Sb concentration [cm -3 ] Fig. 8. SIMS depth profile of Sb in the Schottky diode fabricated using Sb/Ni/TiN(8/15/10 nm) structure to confirm Sb segregation at the Ni silicide/n-si interface. where I F is the forward current, V F is the forward bias voltage, A is the electrically active area, A * is the effective Richardson constant, q is the electronic charge and k is the Boltzmann's constant. The extracted average effective electron Ф Bn value is about ev. This value is significantly lower than that of Schottky diodes Si (1)

5 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.15, NO.1, FEBRUARY, without the Sb interlayer. SIMS analysis was carried out to check the distribution of Sb. Fig. 8 shows the SIMS depth profile of Sb in the Schottky diode with 8 nm Sb interlayer. The Sb concentration represents peak point which indicates Sb segregation at the Ni silicide/n-si interface. SIMS data also shows that the Sb interlayer does not suppress the formation of Ni silicide. This result is consistent with FE-SEM data in Fig. 4 and XRD data in Fig. 5. From the SIMS analysis, we can speculate that the considerable reduction in the effective Ф Bn in samples with the Sb interlayer is due to the Sb segregation at the Ni silicide/n-si interface. S. M. Koh et al. [13, 14] contend that impurities such as sulfur (S) and tellurium (Te) acting as donors generate the shallow donor-type trap level a little below the conduction band in Si. These shallow donor-type traps are generated by the segregation of impurities such as S and Te at the Ni silicide/n-si interface, leading to the sharp band bending of Si, which reduces the effective Ф Bn. It has been reported that Sb generates a donor-type trap level at ev below the conduction band in Si [12, 15]. Consequently, the donor-type trap levels due to Sb segregation at the Ni silicide/n-si interface generate positive charges on the Si side of the interface. These positive charges then induce negative charges of equal magnitude (interfacial dipoles) on the Ni silicide side of the interface, generating an electric field [13, 14, 16, 17]. This electric field leads to the sharp band bending of Si, which reduces the electron barrier width. The narrower electron barrier increases the probability of electron tunneling, resulting in a lower effective Ф Bn. Further investigations and experiments are needed to demonstrate the definitive mechanism of reducing effective Ф Bn due to Sb segregation. IV. CONCLUSIONS To reduce the effective Ф Bn at the NiSi/n-Si interface, Sb interlayer was introduced using RF magnetron sputtering. It was found that the samples with the Sb interlayer show more ohmic characteristics than the control sample without Sb interlayer. This effect increases as the Sb interlayer becomes thicker. From these results, we can speculate that the effective Ф Bn is reduced considerably due to the influence of Sb interlayer. The current level difference between Schottky diodes with Sb/Ni/TiN (8/15/10 nm) and Sb/Ni/TiN (10/15/10 nm) structures is almost same. Therefore, considering the process time and cost, it can be said that the optimal value of the Sb interlayer thickness is 8 nm. A low effective Ф Bn of ev was achieved for the Sb-segregated sample using the activation-energy measurement method. This reduction in effective Ф Bn is due to the formation of interfacial dipoles generated by Sb segregation at the Ni silicide/n-si interface, which increases the probability of electron tunneling.. ACKNOWLEDGMENTS This research was supported in part by the MOTIE(Ministry of Trade, Industry & Energy (G ) and KSRC(Korea Semiconductor Research Consortium) support program for the development of the future semiconductor device. This research was also supported in part by Basic Science Research Program through the National Research Foundation of Korea(NRF) funded by the Ministry of Education( ) and in part by Nano Material Technology Development Program through the National Research Foundation of Korea(NRF) funded by the Ministry of Science, ICT and Future Planning( ). Authors acknowledge Dr. Sang Geul Lee at the Korea Basic Science Institution (KBSI) in Daegu for useful discussion and taking the XRD data. REFERENCES [1] H. Noda, et al, Threshold Voltage Controlled 0.1- μm MOSFET Utilizing Inversion Layer as Extreme Shallow Source/Drain, IEEE International Electron Devices Meeting, pp , Dec, [2] A. Amerasekera, et al, Correlating Drain Junction Scaling, Salicide Thickness, and Lateral NPN Behavior, with the ESD/EOS Performance of a 0.25 μm CMOS Process, IEEE International Electron Devices Meeting, pp , Dec, [3] S. D. Kim, et al, Advanced model and analysis of series resistance for CMOS scaling into nanometer regime, IEEE Transactions on Electron Devices, Vol. 49, No. 3, pp , Mar, 2002.

6 46 HORYEONG LEE et al : A STUDY OF THE DEPENDENCE OF EFFECTIVE SCHOTTKY BARRIER HEIGHT IN NI SILICIDE/N-SI ON [4] S. Shishiguchi, et al, Boron Implanted Shallow Junction Formation by High-Temperature/ Short- Time/High-Ramping-Rate(400 o C/sec) RTA, Symposium on VLSI Technology, pp , Jun, [5] R. T. P. Lee, et al, Novel Nickel-Alloy Silicides for Source/Drain Contact Resistance Reduction in N-Channel Multiple-Gate Transistors with Sub- 35nm Gate Length, IEEE International Electron Devices Meeting, pp. 1-4, Dec, [6] T. Morimoto, et al, Self-Aligned Nickel-Mono- Silicide Technology for High-Speed Deep Submicrometer Logic CMOS ULSI, IEEE Transactions on Electron Devices, Vol. 42, No. 5, pp , May, [7] H. Iwai, et al, NiSi salicide technology for scaled CMOS, Microelectronic Engineering, Vol. 60, No. 1-2, pp , Jan, [8] T. J. Kang, et al, Reduction of Sheet Resistance and Low-Thermal-Budget Relaxation of Stress Gradients in Polysilicon Microcantilever Beams Using Nickel-Silicides, Journal of Microelctromechanical Systems, Vol. 16, No. 2, pp , Apr, [9] S. D. Kim, et al, An Integrated Methodology for Accurate Extraction of S/D Series Resistance Components in Nanoscale MOSFETs, IEEE International Electron Devices Meeting, pp , Dec, [10] S. Franssila, Introduction to Microfabrication, Wiley, [11] D. Deduytsche, An in situ study of the stability of thin Ni-silicide layers, Ghent University, a doctoral dissertation, [12] S. M. Sze, et al, Physics of Semiconductor Devices, Wiley-Interscience, Third Edition, [13] S. M. Koh, et al, Contact-Resistance Reduction for Strained n-finfets With Silicon-Carbon Source/Drain and Platinum-Based Silicide Contacts Featuring Tellurium Implantation and Segregation, IEEE Transactions on Electron Devices, Vol. 58, No. 11, pp , Nov, [14] S. M. Koh, et al, Contact Technology for Strained nfinfets With Silicon-Carbon Source/Drain Stressors Featuring Sulfur Implant and Segregation, IEEE Transactions on Electron Devices, Vol. 59, No. 4, pp , Apr, [15] D. K. Wilson, et al, Electron Spin Resonance Experiments on Donors in Silicon. III. Investigation of Excited States by the Application of Uniaxial Stress and Their Importance in Relaxation Process, Physical Review, Vol. 124, No. 4, pp , Nov, [16] Y. Tong, et al, Selenium Segregation for Effective Schottky Barrier Height Reduction in NiGe/n-Ge Contacts, IEEE Electron Device Letters, Vol. 33, No. 6, pp , Jun, [17] Z. Zhang, et al, Schottky-Barrier Height Tuning by Means of Ion Implantation Into Preformed Silicide Films Followed by Drive-In Anneal, IEEE Electron Device Letters, Vol. 28, No. 7, pp , Jul, Horyeong Lee received a B.S. degree in electronics engineering in 2013, and is currently working toward an M.S. degree in the Department of Electronics Engineering from the Chungnam National University, Daejeon, Korea. His research interests include nickel silicide, high-k material, Schottky barrier MOSFETs, high efficient silicon solar cells and contact resistance reduction. Meng Li was born in China in He received a B.S. degree in electronics engineering from Mokwon University, Daejeon, Korea, in He is currently working toward the M.S. degree in the Department of Electronics Engineering, Chungnam National University, Daejeon, Korea. His research interests include nickel silicide and Schottky barrier MOSFETs as well as high efficient silicon solar cells.

7 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.15, NO.1, FEBRUARY, Jungwoo Oh is an assistant professor at Yonsei University in the school of integrated technology (Incheon Korea). He worked as a project engineer with the Front End Processes Division at SEMATECH (Austin, TX USA), investigating nanoscale CMOS devices and assessing potential alternative material properties to replace silicon for nextgeneration CMOS technology. At the SEMATECH consortium, he works with leading semiconductor manufacturers and state government to solve common manufacturing problems by leveraging resources. Dr. Oh holds a doctorate in materials science and engineering from the University of Texas at Austin. His Ph.D. research was in the area of germanium-silicon-based CMOS photonics. He also earned a master s degree in materials science and engineering from POSTECH and a bachelor s degree from Yonsei University. Hi-Deok Lee received B.S., M.S., and Ph.D. degrees in electrical engineering from the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea, in 1990, 1992, and 1996, respectively. In 1993, he joined the LG Semicon Company, Ltd. (currently SK Hynix Semiconductor Ltd.), Cheongju, Chungbuk, Korea, where he has been involved in the development of μm, 0.25-μm, and 0.18-μm CMOS technologies, respectively. He was also responsible for the development of 0.15-μm and 0.13-μm CMOS technologies. Since 2001, he has been with Chungnam National University, Daejeon, Korea, with the Department of Electronics Engineering. From 2006 to 2008, he was with the University of Texas, Austin, and SEMATECH, Austin, as a Visiting Scholar. His research interests are in the areas of nanoscale CMOS technology and its reliability physics, silicide technology, and Test Element Group design. His research interests also include development of high performance analog MOSFETs and high voltage MOSFETs, analysis of their noise and reliability characteristics. Dr. Lee is a member of the Institute of Electronics Engineers of Korea. He received the Excellent Professor Award from Chungnam National University in 2001 and 2004.

Schottky-Barrier-Height Modulation of Ni Silicide/Si Contacts by Insertion of Thin Er or Pt Layers

Schottky-Barrier-Height Modulation of Ni Silicide/Si Contacts by Insertion of Thin Er or Pt Layers Schottky-Barrier-Height Modulation of Ni Silicide/Si Contacts by Insertion of Thin Er or Pt Layers Yoshihisa Ohishi 1, Kohei Noguchi 1, Kuniyuki Kakushima 2, Parhat Ahmet 1, Kazuo Tsutsui 2, Nobuyuki Sugii

More information

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook:

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook: HOMEWORK 4 and 5 March 15, 2009 Homework is due on Monday March 30, 2009 in Class. Chapter 7 Answer the following questions from the Course Textbook: 7.2, 7.3, 7.4, 7.5, 7.6*, 7.7, 7.9*, 7.10*, 7.16, 7.17*,

More information

MOLYBDENUM AS A GATE ELECTRODE FOR DEEP SUB-MICRON CMOS TECHNOLOGY

MOLYBDENUM AS A GATE ELECTRODE FOR DEEP SUB-MICRON CMOS TECHNOLOGY Mat. Res. Soc. Symp. Vol. 611 2000 Materials Research Society MOLYBDENUM AS A GATE ELECTRODE FOR DEEP SUB-MICRON CMOS TECHNOLOGY Pushkar Ranade, Yee-Chia Yeo, Qiang Lu, Hideki Takeuchi, Tsu-Jae King, Chenming

More information

COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING

COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING Lynne Michaelson 1, Anh Viet Nguyen 2, Krystal Munoz 1, Jonathan C. Wang

More information

MOS Front-End. Field effect transistor

MOS Front-End. Field effect transistor MOS Front-End Back-end Transistor Contact Front-end p-well STI n-well Front-end-of-line includes substrate, isolation, wells, transistor, silicide Field effect transistor MOSFET: Metal-Oxide-Semiconductor

More information

Tuning Schottky Barrier Height of Ni Germanide for High Performance Nano-scale Ge MOSFETs Application

Tuning Schottky Barrier Height of Ni Germanide for High Performance Nano-scale Ge MOSFETs Application Tuning Schottky Barrier Height of Ni Germanide for High Performance Nano-scale Ge MOSFETs Application YING-YING ZHANG, JUNG-DEUK BOK, SANG-UK PARK, BYOUNG-SOEK PARK, SE-KYUNG OH, HONG-SIK SHIN, HYUK-MIM

More information

Advanced Gate Stack, Source/Drain, and Channel Engineering for Si-Based CMOS 6: New Materials, Processes, and Equipment

Advanced Gate Stack, Source/Drain, and Channel Engineering for Si-Based CMOS 6: New Materials, Processes, and Equipment Advanced Gate Stack, Source/Drain, and Channel Engineering for Si-Based CMOS 6: New Materials, Processes, and Equipment Editors: E. P. Gusev Qualcomm MEMS Technologies San Jose, California, USA D-L. Kwong

More information

Laser Spike Annealing for sub-20nm Logic Devices

Laser Spike Annealing for sub-20nm Logic Devices Laser Spike Annealing for sub-20nm Logic Devices Jeff Hebb, Ph.D. July 10, 2014 1 NCCAVS Junction Technology Group Semicon West Meeting July 10, 2014 Outline Introduction Pattern Loading Effects LSA Applications

More information

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1 Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1 LECTURE 030 INTEGRATED CIRCUIT TECHNOLOGY - I (References [7,8]) Objective The objective of this presentation is: 1.) Illustrate integrated

More information

Workfunction Tuning for Single-Metal Dual-Gate With Mo and NiSi Electrodes

Workfunction Tuning for Single-Metal Dual-Gate With Mo and NiSi Electrodes tivation Workfunction Tuning for ngle-metal Dual-Gate With and i Electrodes poly- Gate Gate depletion effect -Effective oxide thickness increase Metal Gate o gate depletion effect K.Sano, M.Hino, and K.Shibahara

More information

Process Integration. NMOS Generic NMOS Process Flow. CMOS - The MOSIS Process Flow

Process Integration. NMOS Generic NMOS Process Flow. CMOS - The MOSIS Process Flow Process Integration Self-aligned Techniques LOCOS- self-aligned channel stop Self-aligned Source/Drain Lightly Doped Drain (LDD) Self-aligned silicide (SALICIDE) Self-aligned oxide gap MEMS Release Techniques

More information

MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices

MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices Hitachi Review Vol. 57 (2008), No. 3 127 MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices Tadashi Terasaki Masayuki Tomita Katsuhiko Yamamoto Unryu Ogawa, Dr. Eng. Yoshiki Yonamoto,

More information

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation. Figure 2.1 (p. 58) Basic fabrication steps in the silicon planar process: (a) oxide formation, (b) selective oxide removal, (c) deposition of dopant atoms on wafer, (d) diffusion of dopant atoms into exposed

More information

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) contents UNIT I INTRODUCTION: Introduction to IC Technology MOS, PMOS, NMOS, CMOS & BiCMOS technologies. BASIC ELECTRICAL PROPERTIES : Basic Electrical

More information

FABRICATION of MOSFETs

FABRICATION of MOSFETs FABRICATION of MOSFETs CMOS fabrication sequence -p-type silicon substrate wafer -creation of n-well regions for pmos transistors, -impurity implantation into the substrate. -thick oxide is grown in the

More information

Making III-V contact with silicon substrates

Making III-V contact with silicon substrates 106Technology focus: III-Vs on silicon Making III-V contact with silicon substrates High-speed logic, high-frequency/high-power transistors and photonics systems could benefit from marrying with silicon

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 9/13/2007 Fabrication Technology Lecture 1 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world)

More information

NiPt salicide process improvement for 28nm CMOS with Pt(10%) additive

NiPt salicide process improvement for 28nm CMOS with Pt(10%) additive NiPt salicide process improvement for 28nm CMOS with Pt(10%) additive Jerander Lai, Yi-Wei Chen, Nien-Ting Ho, Yu Shan Shiu, J F Lin Shuen Chen Lei, Nick ZH Chang, Ling Chun Chou, C C Huang, and J Y Wu

More information

Lecture 22: Integrated circuit fabrication

Lecture 22: Integrated circuit fabrication Lecture 22: Integrated circuit fabrication Contents 1 Introduction 1 2 Layering 4 3 Patterning 7 4 Doping 8 4.1 Thermal diffusion......................... 10 4.2 Ion implantation.........................

More information

SEMATECH Symposium Korea 2012 Practical Analysis Techniques of Nanostructured Semiconductors by Electron Microscopy

SEMATECH Symposium Korea 2012 Practical Analysis Techniques of Nanostructured Semiconductors by Electron Microscopy SEMATECH Symposium Korea 2012 Practical Analysis Techniques of Nanostructured Semiconductors by Electron Microscopy Jun-Mo Yang, Ph.D. Measurement & Analysis Team National NanoFab Center, Korea Introduction

More information

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University 2014 Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University Page1 Syllabus UNIT 1 Introduction to VLSI Technology: Classification of ICs, Scale of integration,

More information

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS AND FABRICATION ENGINEERING ATTHE MICRO- NANOSCALE Fourth Edition STEPHEN A. CAMPBELL University of Minnesota New York Oxford OXFORD UNIVERSITY PRESS CONTENTS Preface xiii prrt i OVERVIEW AND MATERIALS

More information

Project III. 4: THIN FILM DEVICES FOR LARGE AREA ELECTRONICS

Project III. 4: THIN FILM DEVICES FOR LARGE AREA ELECTRONICS Project III. 4: THIN FILM DEVICES FOR LARGE AREA ELECTRONICS Project leader: Dr D.N. Kouvatsos Collaborating researchers from other projects: Dr D. Davazoglou Ph.D. candidates: M. Exarchos, L. Michalas

More information

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

Semiconductor Manufacturing Technology. IC Fabrication Process Overview Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 00 by Prentice Hall Chapter 9 IC Fabrication Process Overview /4 Objectives After studying the material in this chapter, you

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

Process Flow in Cross Sections

Process Flow in Cross Sections Process Flow in Cross Sections Process (simplified) 0. Clean wafer in nasty acids (HF, HNO 3, H 2 SO 4,...) --> wear gloves! 1. Grow 500 nm of SiO 2 (by putting the wafer in a furnace with O 2 2. Coat

More information

1 Thin-film applications to microelectronic technology

1 Thin-film applications to microelectronic technology 1 Thin-film applications to microelectronic technology 1.1 Introduction Layered thin-film structures are used in microelectronic, opto-electronic, flat panel display, and electronic packaging technologies.

More information

EE 560 FABRICATION OF MOS CIRCUITS. Kenneth R. Laker, University of Pennsylvania

EE 560 FABRICATION OF MOS CIRCUITS. Kenneth R. Laker, University of Pennsylvania 1 EE 560 FABRICATION OF MOS CIRCUITS 2 CMOS CHIP MANUFACTRING STEPS Substrate Wafer Wafer Fabrication (diffusion, oxidation, photomasking, ion implantation, thin film deposition, etc.) Finished Wafer Wafer

More information

Ion Implantation Most modern devices doped using ion implanters Implant dopants by accelerating individual atoms (ions) Ionize gas sources (single +,

Ion Implantation Most modern devices doped using ion implanters Implant dopants by accelerating individual atoms (ions) Ionize gas sources (single +, Ion Implantation Most modern devices doped using ion implanters Implant dopants by accelerating individual atoms (ions) Ionize gas sources (single +, 2+ or 3+ ionization) Use analyzer to selection charge/mass

More information

Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very

Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very high voltages (10-600 KeV) Use analyzer to selection charge/mass

More information

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon Chapter 5 Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon 5.1 Introduction In this chapter, we discuss a method of metallic bonding between two deposited silver layers. A diffusion

More information

High-Resolution, Electrohydrodynamic Inkjet Printing of Stretchable, Metal Oxide Semiconductor Transistors with High Performances

High-Resolution, Electrohydrodynamic Inkjet Printing of Stretchable, Metal Oxide Semiconductor Transistors with High Performances Electronic Supplementary Material (ESI) for Nanoscale. This journal is The Royal Society of Chemistry 2016 ` Electronic Supplementary Information High-Resolution, Electrohydrodynamic Inkjet Printing of

More information

VLSI Technology. By: Ajay Kumar Gautam

VLSI Technology. By: Ajay Kumar Gautam By: Ajay Kumar Gautam Introduction to VLSI Technology, Crystal Growth, Oxidation, Epitaxial Process, Diffusion Process, Ion Implantation, Lithography, Etching, Metallization, VLSI Process Integration,

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

Nagatsuta, Midori-ku, Yokohama , Japan. Technology, 4259-S2-20 Nagatsuta, Midori-ku, Yokohama , Japan

Nagatsuta, Midori-ku, Yokohama , Japan. Technology, 4259-S2-20 Nagatsuta, Midori-ku, Yokohama , Japan Improvement of Interface Properties of W/La O 3 /Si MOS Structure Using Al Capping Layer K. Tachi a, K. Kakushima b, P. Ahmet a, K. Tsutsui b, N. Sugii b, T. Hattori a, and H. Iwai a a Frontier Collaborative

More information

Isolation Technology. Dr. Lynn Fuller

Isolation Technology. Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Isolation Technology Dr. Lynn Fuller Motorola Professor 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Fax (585) 475-5041

More information

Interface Structure and Charge Trapping in HfO 2 -based MOSFETS

Interface Structure and Charge Trapping in HfO 2 -based MOSFETS Interface Structure and Charge Trapping in HfO 2 -based MOSFETS MURI - ANNUAL REVIEW, 13 and 14 th May 2008 S.K. Dixit 1, 2, T. Feng 6 X.J. Zhou 3, R.D. Schrimpf 3, D.M. Fleetwood 3,4, S.T. Pantelides

More information

Is Now Part of To learn more about ON Semiconductor, please visit our website at

Is Now Part of To learn more about ON Semiconductor, please visit our website at Is Now Part of To learn more about ON Semiconductor, please visit our website at www.onsemi.com ON Semiconductor and the ON Semiconductor logo are trademarks of Semiconductor Components Industries, LLC

More information

CMOS FABRICATION. n WELL PROCESS

CMOS FABRICATION. n WELL PROCESS CMOS FABRICATION n WELL PROCESS Step 1: Si Substrate Start with p- type substrate p substrate Step 2: Oxidation Exposing to high-purity oxygen and hydrogen at approx. 1000 o C in oxidation furnace SiO

More information

Assignment Questions

Assignment Questions HIGH SPEED DEVICES AND CIRCUITS Assignment Questions 1) Why Silicon Semiconductors are widely used in the VLSI applications? Hint: Refer Video on Introduction to Basic Concepts 2) What are the parameters

More information

Rapid Thermal Processing (RTP) Dr. Lynn Fuller

Rapid Thermal Processing (RTP) Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Rapid Thermal Processing (RTP) Dr. Lynn Fuller Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585)

More information

VLSI Systems and Computer Architecture Lab

VLSI Systems and Computer Architecture Lab ΚΥΚΛΩΜΑΤΑ VLSI Πανεπιστήμιο Ιωαννίνων CMOS Technology Τμήμα Μηχανικών Η/Υ και Πληροφορικής 1 From the book: An Introduction ti to VLSI Process By: W. Maly ΚΥΚΛΩΜΑΤΑ VLSI Διάρθρωση 1. N well CMOS 2. Active

More information

Low temperature MOSFET technology with Schottky barrier source/drain, high-k gate dielectric and metal gate electrode

Low temperature MOSFET technology with Schottky barrier source/drain, high-k gate dielectric and metal gate electrode Solid-State Electronics 48 (2004) 1987 1992 www.elsevier.com/locate/sse Low temperature MOSFET technology with Schottky barrier source/drain, high-k gate dielectric and metal gate electrode Shiyang Zhu

More information

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules 2. CMOS Fabrication, Layout, Design Rules Last module: Introduction to the course How a transistor works CMOS transistors This module: CMOS Fabrication Design Rules CMOS Fabrication CMOS transistors are

More information

Low contact resistance a-igzo TFT based on. Copper-Molybdenum Source/Drain electrode

Low contact resistance a-igzo TFT based on. Copper-Molybdenum Source/Drain electrode Low contact resistance a-igzo TFT based on Copper-Molybdenum Source/Drain electrode Shi-Ben Hu 1,Hong-Long Ning 1,2, Feng Zhu 1,Rui-QiangTao 1,Xian-Zhe Liu 1, Yong Zeng 1, Ri-Hui Yao 1, Lei Wang 1, Lin-Feng

More information

EE 434 Lecture 9. IC Fabrication Technology

EE 434 Lecture 9. IC Fabrication Technology EE 434 Lecture 9 IC Fabrication Technology Quiz 7 The layout of a film resistor with electrodes A and B is shown. If the sheet resistance of the film is 40 /, determine the resistance between nodes A and

More information

Technology. Semiconductor Manufacturing. Hong Xiao INTRODUCTION TO SECOND EDITION SPIE PRESS

Technology. Semiconductor Manufacturing. Hong Xiao INTRODUCTION TO SECOND EDITION SPIE PRESS INTRODUCTION TO Semiconductor Manufacturing Technology SECOND EDITION Hong Xiao TECHNISCHE INFORMATIONSBiBUOTHEK UNIVERSITATSBIBLIOTHEK HANNOVER SPIE PRESS Bellingham,Washington USA Contents Preface to

More information

Thermal Evaporation. Theory

Thermal Evaporation. Theory Thermal Evaporation Theory 1. Introduction Procedures for depositing films are a very important set of processes since all of the layers above the surface of the wafer must be deposited. We can classify

More information

Fabrication and Layout

Fabrication and Layout ECEN454 Digital Integrated Circuit Design Fabrication and Layout ECEN 454 3.1 A Glimpse at MOS Device Polysilicon Aluminum ECEN 475 4.2 1 Material Classification Insulators Glass, diamond, silicon oxide

More information

Lecture 200 BiCMOS Technology (12/12/01) Page 200-1

Lecture 200 BiCMOS Technology (12/12/01) Page 200-1 Lecture 200 BiCMOS Technology (12/12/01) Page 200-1 LECTURE 200 BICMOS TECHNOLOGY (READING: Text-Sec. 2.11) INTRODUCTION Objective Illustrate BiCMOS technology Outline Introduction Physical process illustration

More information

Metallization. Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance

Metallization. Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance Metallization Interconnects Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance RC time delay Inter-Metal Dielectric -Prefer low dielectric constant to reduce capacitance

More information

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther EECS 40 Spring 2003 Lecture 19 Microfabrication 4/1/03 Prof. ndy Neureuther How are Integrated Circuits made? Silicon wafers Oxide formation by growth or deposition Other films Pattern transfer by lithography

More information

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005 3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005 1) This is an open book, take-home quiz. You are not to consult with other class members or anyone else. You may discuss the

More information

Yung-Hui Yeh, and Bo-Cheng Kung Display Technology Center (DTC), Industrial Technology Research Institute, Hsinchu 310, Taiwan

Yung-Hui Yeh, and Bo-Cheng Kung Display Technology Center (DTC), Industrial Technology Research Institute, Hsinchu 310, Taiwan Amorphous In 2 O 3 -Ga 2 O 3 -ZnO Thin Film Transistors and Integrated Circuits on Flexible and Colorless Polyimide Substrates Hsing-Hung Hsieh, and Chung-Chih Wu* Graduate Institute of Electronics Engineering,

More information

EEC 118 Lecture #5: MOS Fabrication. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

EEC 118 Lecture #5: MOS Fabrication. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation EEC 118 Lecture #5: MOS Fabrication Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation Announcements Lab 3 this week, report due next week HW 3 due this Friday at 4

More information

A New High-k Transistor Technology Implemented in Accordance with the 55nm Design Rule Process

A New High-k Transistor Technology Implemented in Accordance with the 55nm Design Rule Process A New High-k Transistor Technology Implemented in Accordance with the 55nm Design Rule Process FUKASE Tadashi, NAKAHARA Yasushi, TAKAHASHI Toshifumi, IMAI Kiyotaka Abstract NEC Electronics has developed

More information

Semiconductor Technology

Semiconductor Technology Semiconductor Technology von A bis Z Metallization www.halbleiter.org Contents Contents List of Figures List of Tables II III 1 Metallization 1 1.1 Requirements on metallization........................

More information

id : class06 passwd: class06

id : class06 passwd: class06 http://wwwee.stanford.edu/class_directory.php http://ocw.mit.edu/ocwweb/index.htm http://nanosioe.ee.ntu.edu.tw id : class06 passwd: class06 Display and OLED Market OLED on glass only ~US$ 0.5B in 04,

More information

Lecture 4. Oxidation (applies to Si and SiC only) Reading: Chapter 4

Lecture 4. Oxidation (applies to Si and SiC only) Reading: Chapter 4 Lecture 4 Oxidation (applies to Si and SiC only) Reading: Chapter 4 Introduction discussion: Oxidation: Si (and SiC) Only The ability to grow a high quality thermal oxide has propelled Si into the forefront

More information

Materials Characterization

Materials Characterization Materials Characterization C. R. Abernathy, B. Gila, K. Jones Cathodoluminescence (CL) system FEI Nova NanoSEM (FEG source) with: EDAX Apollo silicon drift detector (TE cooled) Gatan MonoCL3+ FEI SEM arrived

More information

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications Journal of ELECTRONIC MATERIALS, Vol. 31, No. 5, 2002 Special Issue Paper Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems

More information

Semiconductor Technology

Semiconductor Technology Semiconductor Technology from A to Z Oxidation www.halbleiter.org Contents Contents List of Figures List of Tables II III 1 Oxidation 1 1.1 Overview..................................... 1 1.1.1 Application...............................

More information

Growth of copper oxide thin films for possible transparent electronic applications

Growth of copper oxide thin films for possible transparent electronic applications Chapter 4 Growth of copper oxide thin films for possible transparent electronic applications 4.1 Introduction The first reported semiconductor metal oxide was cuprous oxide in 1917 by Kennard et al [230].

More information

ZnO-based Transparent Conductive Oxide Thin Films

ZnO-based Transparent Conductive Oxide Thin Films IEEE EDS Mini-colloquium WIMNACT 32 ZnO-based Transparent Conductive Oxide Thin Films Weijie SONG Ningbo Institute of Material Technology and Engineering, Chinese Academy of Sciences, Ningbo, P. R. China

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time Etching Dry etch (anisotropic) SiO

More information

Surface micromachining and Process flow part 1

Surface micromachining and Process flow part 1 Surface micromachining and Process flow part 1 Identify the basic steps of a generic surface micromachining process Identify the critical requirements needed to create a MEMS using surface micromachining

More information

National Semiconductor LM2672 Simple Switcher Voltage Regulator

National Semiconductor LM2672 Simple Switcher Voltage Regulator Construction Analysis National Semiconductor LM2672 Simple Switcher Voltage Regulator Report Number: SCA 9712-570 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale,

More information

Heavily Aluminum-Doped Epitaxial Layers for Ohmic Contact Formation to p-type 4H-SiC Produced by Low-Temperature Homoepitaxial Growth

Heavily Aluminum-Doped Epitaxial Layers for Ohmic Contact Formation to p-type 4H-SiC Produced by Low-Temperature Homoepitaxial Growth Journal of ELECTRONIC MATERIALS, Vol. 39, No. 1, 2010 DOI: 10.1007/s11664-009-0953-6 Ó 2009 TMS Heavily Aluminum-Doped Epitaxial Layers for Ohmic Contact Formation to p-type 4H-SiC Produced by Low-Temperature

More information

Hei Wong.

Hei Wong. Defects and Disorders in Hafnium Oxide and at Hafnium Oxide/Silicon Interface Hei Wong City University of Hong Kong Email: heiwong@ieee.org Tokyo MQ2012 1 Outline 1. Introduction, disorders and defects

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2011

EE C245 ME C218 Introduction to MEMS Design Fall 2011 Lecture Outline EE C245 ME C218 Introduction to MEMS Design Fall 2011 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720

More information

Advanced Model and Analysis of Series Resistance for CMOS Scaling Into Nanometer Regime Part I: Theoretical Derivation

Advanced Model and Analysis of Series Resistance for CMOS Scaling Into Nanometer Regime Part I: Theoretical Derivation IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 49, NO. 3, MARCH 2002 457 Advanced Model and Analysis of Series Resistance for CMOS Scaling Into Nanometer Regime Part I: Theoretical Derivation Seong-Dong Kim,

More information

Polycrystalline CdS/CdTe solar cells

Polycrystalline CdS/CdTe solar cells Polycrystalline CdS/CdTe solar cells Al Compaan Distinguished University Professor of Physics, Emeritus (Lecture for Heben/Ellingson solar cells class) March 3, 2011 1 Absorption spectra of various semiconductors

More information

Lecture 7 CMOS MEMS. CMOS MEMS Processes. CMOS MEMS Processes. Why CMOS-MEMS? Agenda: CMOS MEMS: Fabrication. MEMS structures can be made

Lecture 7 CMOS MEMS. CMOS MEMS Processes. CMOS MEMS Processes. Why CMOS-MEMS? Agenda: CMOS MEMS: Fabrication. MEMS structures can be made EEL6935 Advanced MEMS (Spring 2005) Instructor: Dr. Huikai Xie CMOS MEMS Agenda: Lecture 7 CMOS MEMS: Fabrication Pre-CMOS Intra-CMOS Post-CMOS Deposition Etching Why CMOS-MEMS? Smart on-chip CMOS circuitry

More information

Fabrication of Ru/Bi 4-x La x Ti 3 O 12 /Ru Ferroelectric Capacitor Structure Using a Ru Film Deposited by Metalorganic Chemical Vapor Deposition

Fabrication of Ru/Bi 4-x La x Ti 3 O 12 /Ru Ferroelectric Capacitor Structure Using a Ru Film Deposited by Metalorganic Chemical Vapor Deposition Mat. Res. Soc. Symp. Proc. Vol. 784 2004 Materials Research Society C7.7.1 Fabrication of Ru/Bi 4-x La x Ti 3 O 12 /Ru Ferroelectric Capacitor Structure Using a Ru Film Deposited by Metalorganic Chemical

More information

200mm Next Generation MEMS Technology update. Florent Ducrot

200mm Next Generation MEMS Technology update. Florent Ducrot 200mm Next Generation MEMS Technology update Florent Ducrot The Most Exciting Industries on Earth Semiconductor Display Solar 20,000,000x reduction in COST PER TRANSISTOR in 30 years 1 20x reduction in

More information

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high vacuum ~10-7 torr Removes residual gases eg oxygen from

More information

Copyright by. Puneet Kohli

Copyright by. Puneet Kohli Copyright by Puneet Kohli 2003 The Dissertation Committee for Puneet Kohli Certifies that this is the approved version of the following dissertation: Fundamental Understanding of the Physics and Modeling

More information

Fabrication Technology

Fabrication Technology Fabrication Technology By B.G.Balagangadhar Department of Electronics and Communication Ghousia College of Engineering, Ramanagaram 1 OUTLINE Introduction Why Silicon The purity of Silicon Czochralski

More information

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material on any substrate (in principal) Start with pumping down

More information

Impurity free vacancy disordering of InGaAs quantum dots

Impurity free vacancy disordering of InGaAs quantum dots JOURNAL OF APPLIED PHYSICS VOLUME 96, NUMBER 12 15 DECEMBER 2004 Impurity free vacancy disordering of InGaAs quantum dots P. Lever, H. H. Tan, and C. Jagadish Department of Electronic Materials Engineering,

More information

Nanosilicon single-electron transistors and memory

Nanosilicon single-electron transistors and memory Nanosilicon single-electron transistors and memory Z. A. K. Durrani (1, 2) and H. Ahmed (3) (1) Electronic Devices and Materials Group, Engineering Department, University of Cambridge, Trumpington Street,

More information

Metallization. Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance

Metallization. Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance Metallization Interconnects Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance RC time delay Inter-Metal Dielectric -Prefer low dielectric constant to reduce capacitance

More information

MEMS prototyping using RF sputtered films

MEMS prototyping using RF sputtered films Indian Journal of Pure & Applied Physics Vol. 45, April 2007, pp. 326-331 MEMS prototyping using RF sputtered films Sudhir Chandra, Vivekanand Bhatt, Ravindra Singh, Preeti Sharma & Prem Pal* Centre for

More information

5.8 Diaphragm Uniaxial Optical Accelerometer

5.8 Diaphragm Uniaxial Optical Accelerometer 5.8 Diaphragm Uniaxial Optical Accelerometer Optical accelerometers are based on the BESOI (Bond and Etch back Silicon On Insulator) wafers, supplied by Shin-Etsu with (100) orientation, 4 diameter and

More information

Layout-related stress effects on TID-induced leakage current

Layout-related stress effects on TID-induced leakage current Layout-related stress effects on TID-induced leakage current Nadia Rezzak, R. D. Schrimpf, M. L. Alles, En Xia Zhang, Daniel M. Fleetwood, Yanfeng Albert Li Radiation Effects Group Vanderbilt University,

More information

Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric

Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric K. Matano 1, K. Funamizu 1, M. Kouda 1, K. Kakushima 2, P. Ahmet 1, K. Tsutsui 2, A. Nishiyama 2, N. Sugii

More information

2. High Efficiency Crystalline Si Solar Cells

2. High Efficiency Crystalline Si Solar Cells 2 High Efficiency Crystalline Si Solar Cells Students: Karthick Murukesan, Sandeep S S, Meenakshi Bhaisare, Bandana Singha, Kalaivani S and Ketan Warikoo Faculty members: Anil Kottantharayil, B M Arora,

More information

Cambridge University Press A Guide to Hands-on MEMS Design and Prototyping Joel A. Kubby Excerpt More information.

Cambridge University Press A Guide to Hands-on MEMS Design and Prototyping Joel A. Kubby Excerpt More information. 1 Introduction 1.1 Overview of MEMS fabrication Microelectromechanical systems (MEMS) fabrication developed out of the thin-film processes first used for semiconductor fabrication. To understand the unique

More information

Nano-Processing for High Voltage and High Power Devices. J. Parsey March 21, 2013

Nano-Processing for High Voltage and High Power Devices. J. Parsey March 21, 2013 Nano-Processing for High Voltage and High Power Devices J. Parsey March 21, 2013 Outline Background concepts Two nano ideas: New high voltage, high power FET device designs Application of nano-particles

More information

Modeling of Grain Growth Mechanism by Nickel Silicide Reactive Grain Boundary Effect in Metal-Induced-Lateral-Crystallization

Modeling of Grain Growth Mechanism by Nickel Silicide Reactive Grain Boundary Effect in Metal-Induced-Lateral-Crystallization IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 50, NO. 6, JUNE 2003 1467 Modeling of Grain Growth Mechanism by Nickel Silicide Reactive Grain Boundary Effect in Metal-Induced-Lateral-Crystallization C. F.

More information

Correlation Between Energy Gap and Defect Formation of Al Doped Zinc Oxide on Carbon Doped Silicon Oxide

Correlation Between Energy Gap and Defect Formation of Al Doped Zinc Oxide on Carbon Doped Silicon Oxide TRANSACTIONS ON ELECTRICAL AND ELECTRONIC MATERIALS Vol. 15, No. 4, pp. 207-212, August 25, 2014 Regular Paper pissn: 1229-7607 eissn: 2092-7592 DOI: http://dx.doi.org/10.4313/teem.2014.15.4.207 Correlation

More information

High Transmittance Ti doped ITO Transparent Conducting Layer Applying to UV-LED. Y. H. Lin and C. Y. Liu

High Transmittance Ti doped ITO Transparent Conducting Layer Applying to UV-LED. Y. H. Lin and C. Y. Liu High Transmittance Ti doped ITO Transparent Conducting Layer Applying to UV-LED Y. H. Lin and C. Y. Liu Department of Chemical Engineering and Materials Engineering, National Central University, Jhongli,

More information

"OPTICAL AND ELECTRICAL PROPERTIES OF ZnTe AND ZnTe:O THIN FILMS DEPOSITED BY CSVT TECHNIQUE"

OPTICAL AND ELECTRICAL PROPERTIES OF ZnTe AND ZnTe:O THIN FILMS DEPOSITED BY CSVT TECHNIQUE "OPTICAL AND ELECTRICAL PROPERTIES OF ZnTe AND ZnTe:O THIN FILMS DEPOSITED BY CSVT TECHNIQUE" M. A. González Trujillo 1, M.L. Albor Aguilera 2 D.A. Rodríguez Morán 3 M. Galván Arellano 4, A. Morales Acevedo

More information

Memory Devices. Ki-Nam Kim, President, Institut of Technology Samsung Electronics, 2010 IEDM, San Francisco.

Memory Devices. Ki-Nam Kim, President, Institut of Technology Samsung Electronics, 2010 IEDM, San Francisco. Memory Devices In Korea now, Samsung : 2010, 30nm 2Gb DDRS DRAM/DDR3 SRAM 2011, Invest US $12 bil. for 20nm & SysLSI. Hynix : 2010, 26nm MLC- NAND Flash 2011, 30nm 4Gb DRAM At 2020, the demands of computing

More information

MOS Gate Dielectrics. Outline

MOS Gate Dielectrics. Outline MOS Gate Dielectrics Outline Scaling issues Technology Reliability of SiO 2 Nitrided SiO 2 High k dielectrics 42 Incorporation of N or F at the Si/SiO 2 Interface Incorporating nitrogen or fluorine instead

More information

Lecture 5. SOI Micromachining. SOI MUMPs. SOI Micromachining. Silicon-on-Insulator Microstructures. Agenda:

Lecture 5. SOI Micromachining. SOI MUMPs. SOI Micromachining. Silicon-on-Insulator Microstructures. Agenda: EEL6935 Advanced MEMS (Spring 2005) Instructor: Dr. Huikai Xie SOI Micromachining Agenda: SOI Micromachining SOI MUMPs Multi-level structures Lecture 5 Silicon-on-Insulator Microstructures Single-crystal

More information

Properties of TiN thin films grown on SiO 2 by reactive HiPIMS

Properties of TiN thin films grown on SiO 2 by reactive HiPIMS Properties of TiN thin films grown on SiO 2 by reactive HiPIMS Friðrik Magnus 1, Árni S. Ingason 1, Ólafur B. Sveinsson 1, S. Shayestehaminzadeh 1, Sveinn Ólafsson 1 and Jón Tómas Guðmundsson 1,2 1 Science

More information

EE 330 Fall Ruden Michael. Al Kaabi Humaid. Archer Tyler. Hafeez Mustafa. Mullen Taylor. Thedens Peter. Cao Khoi.

EE 330 Fall Ruden Michael. Al Kaabi Humaid. Archer Tyler. Hafeez Mustafa. Mullen Taylor. Thedens Peter. Cao Khoi. 1 2 5 6 3 4 8 7 1 2 3 4 5 6 ROW EE 330 Fall 2017 9 10 Al Kaabi Humaid Alegria Francisco Allison Trenton Alva Caroline Archer Tyler Bahashwan Abdullah Betke Jarrett Chun Junho Davidson Caleb Faronbi Matthew

More information

Chapter 2. Density 2.65 g/cm 3 Melting point Young s modulus Tensile strength Thermal conductivity Dielectric constant 3.

Chapter 2. Density 2.65 g/cm 3 Melting point Young s modulus Tensile strength Thermal conductivity Dielectric constant 3. Chapter 2 Thin Film Materials Thin films of Silicon dioxide, Silicon nitride and Polysilicon have been utilized in the fabrication of absolute micro pressure sensor. These materials are studied and discussed

More information

Nickel-induced crystallization of amorphous silicon

Nickel-induced crystallization of amorphous silicon University of Arkansas, Fayetteville ScholarWorks@UARK Mechanical Engineering Undergraduate Honors Theses Mechanical Engineering 5-2009 Nickel-induced crystallization of amorphous silicon Robert Fleming

More information