METAL OXIDE SEMICONDUCTOR (MOS) DEVICES. Term Paper Topic: Hafnium-based High-K Gate Dielectrics

Size: px
Start display at page:

Download "METAL OXIDE SEMICONDUCTOR (MOS) DEVICES. Term Paper Topic: Hafnium-based High-K Gate Dielectrics"

Transcription

1 METAL OXIDE SEMICONDUCTOR (MOS) DEVICES Term Paper Topic: Hafnium-based High-K Gate Dielectrics AUTHOR KYAWTHETLATT

2 Content 1. High-k Gate Dielectric introduction 3 2. Brief history of high-k dielectric development 4 3. Requirements of High-K Oxides K Value, Band Gap and Band offset Thermal Stability Crystallization Temperature Interface Quality Defects 8 4. Latest Development in Hf-Based High-k Oxides Doping of Hf-Based High-k Oxides Silicon Doped Hf-Based High-k Oxides Aluminum Doped Hf-Based High-k Oxides Tantalum Doped Hf-Based High-k Oxides Lanthanum Doped Hf-Based High-k Oxides Conclusion References 19 2

3 Hafnium-based High-k Gate Dielectric 1. Introduction Among the three main components of the transistor gate stack, source/drain, and channel length; gate stack has been the most sophisticated and sensitive part for it performance, yield and reliability. From the performance perspective, scaling of silicon dioxide dielectric is an effective approach to enhance transistor performance in MOSFET. Reduction thickness of silicon dioxide gate dielectric has increased numbers of transistors per unit area and higher performance speed at lower cost. As per today sub-45nm technology node, the effective oxide thickness (EOT) of the silicon dioxide dielectric are required to be smaller than 1nm, which corresponding to about 3 monolayers thickness. While it may be feasible to make silicon dioxide thinner but direct tunneling compromises their ability to remain an insulator. To further downward scaling, dielectric with a higher dielectric constant will be the solution to achieve the same transistor performance while maintaining a relatively thick physical thickness [1]. Many alternate high-k gate dielectrics have been studied and to replace SiO2. Among the candidates, Hf-based oxides have been recently highlighted as the most suitable dielectric materials because of their comprehensive performance. However, one of the key issues concerning new gate dielectrics is the low crystallization temperature and difficult to integrate them into traditional CMOS processes. In the assignment, brief history of high-k development, the requirements of high-k oxides, various candidates of high-k, and the latest hafnium-based high-k materials will be discussed. 3

4 2. Brief history of high-k dielectric development To overcome gate leakage problems, incorporation of nitrogen into SiO2 has been adopted. There are several ways to introduce nitrogen into SiO2, such as post deposition annealing in nitrogen ambient and forming a nitride/oxide stack structure. By incorporating nitrogen into SiO2, it not only increases the dielectric constant but also acts as a better barrier against boron penetration. In addition, a nitride/oxide stack structure maintains the benefits of good interface quality between the oxide and substrate [2], as shown in Fig.1. Fig.1. Schematic showing incoming nitrogen radicals replace oxygen atoms to form Si N bonds. Despite the immense development with SiO2, these oxynitrides still have low k values and so a relatively thick layer is required to prevent direct tunneling current. Therefore, alternative materials with a higher k than SiO2 are needed to achieve the required capacitance without tunneling currents. A lot of oxides have been proposed (Al2O3, Y2O3, La2O3, Sc2O3 and lanthanides such as Pr2O3, Gd2O3 and Lu2O3), unfortunately due to limitations dictated by low power applications, scalability, or serious reactions with the Si substrate. The choice of alternative gate dielectrics has been narrowed to HfO2, ZrO2 and their silicates due to their excellent electrical properties and high thermal stability in contact with Si. However, another problem associated with Hf-based and Zr-based oxides is low crystallization temperature. They can easily crystallize during standard CMOS processes. Hf-based oxides are preferred over Zr-based oxides for its relative higher crystalline temperature. These crystalline 4

5 structures can increase the gate leakage which providing pathways for diffusion of dopants and dielectric breakdown. Many focused on the improvement of the crystallization temperature of these oxides, such as N, Si, Al, Ta and La have been incorporated into these Hf-based high-k oxides. 3. Requirements of High-K Oxides The most important requirements of gate dielectric materials are good insulating properties and capacitance performance. Because the gate dielectric materials constitute the interlayer in the gate stacks, they should also have the ability to prevent diffusion of dopants such as boron and phosphorus and low defects. Meanwhile, they must have good thermal stability, high recrystallization temperature and good interface qualities K Value, Band Gap and Band offset The requirement of k value should be over 12 and the dielectric will have a reasonable physical thickness which is enough to prevent gate leakage not too thick to hamper physical scaling when achieving the target EOT and Fig. 2 shows that the k values of some oxides vary inversely with the band gap [3]. Fig. 2. Dielectric constant (k), band gap and CB offset on Si of the candidate gate dielectric. 5

6 To achieve the required insulating properties, the gate dielectric must exhibit at least the band offset of 1eV while in contact with the Si substrate in order to avoid gate leakage and breakdown. The band offset is required to be over 1eV in order to inhibit conduction by the Schottky emission of electrons or holes into the oxide bands [3], as shown in Fig.3. This means that the materials must have both the conduction band offset (CB) and valence band offset (VB) over 1 ev. Fig. 3. Band offsets of CB and VB and dependence of carrier injection in oxide band states Thermal Stability This requires that the gate oxides must be thermally and chemically stable especially with the contacting materials, because the gate stacks need to undergo rapid thermal annealing (RTA) process. Therefore, from the thermal stability point of view Al2O3, ZrO2, HfO2, Y2O3, La2O3, Sc2O3 and some lanthanides such as Pr2O3, Gd2O3 and Lu2O3 are suitable. From the materials, ZrO2 with higher heat of formation than SiO2 may also be slightly reactive with Si forming the silicide, ZrSi2 which is not suitable for gate oxide. Among these high k dielectrics, HfO2 has both a high k value as well as chemical stability with water and Si. 6

7 3.3. Crystallization Temperature Amorphous materials are preferred to crystalline because absence of grains and good diffusion barrier properties. The grains which lie in the crystalline systems can often be the pathways for dopants diffusion and breakdown. Unlike SiO2, high-k oxides usually have low crystalline temperature and can easily crystallize when subjected to RTA. In particular, HfO2 and ZrO2 crystallize at much lower temperatures at ~400 0 C and ~300 0 C, respectively. The approach to improve the crystallization temperature of HfO2 and ZrO2 has been intensively studied. The crystallized HfO2 has a much lower leakage current which has convinced many companies to adopt binary oxides because of their relative higher k values Interface Quality Interface quality is another key criterion for the high k dielectrics. The dielectric silicon interface must have the best electrical quality, flatness, absence of defects such as dangling bond and impurities; to ensure the low interface state density. High fixed charge density will be introduced in the interface, if the quality is not well controlled. This will induce a large shift in the flat band voltage which is one of the most critical factors for the device performance and reliability. Particularly there are two solutions to keep the interface with high quality; either amorphous oxide grown on the silicon or epitaxial grown crystalline oxide on silicon. Comparing these two solutions, amorphous oxide is more suitable than epitaxial oxide for current technology. First, amorphous oxide stays lower cost than epi-oxide, and it is more compatible with the existing manufacturing processes. Second, amorphous oxide could limit the interface defect concentration, and it is achievable to gradually changing the composition without adding new phase. Third, its dielectric constant is isotropic, thus the fluctuations in polarization from differently oriented oxide grains will not lead to scattering of channel carriers. Last one, amorphous oxide does not have grain boundaries. Considering all the above factors, the stack of gate dielectric will contain a few monolayers of Si-O 7

8 materials as a buffer interlayer. This could maintain the critical, high quality nature of the SiO 2 interface while providing a higher k value for that thin layer. A different high k material can also be used on top of it Defects Bulk defects introduced during the deposition of the high k material, is similar to interface defects, will lead to the degradation of the dielectric performance as the rising number of defect-related fixed charges and finally cause reliability issues. These defects act as the trap center for charges, and might result in the time dependent shift for gate threshold voltage; associated with negative bias temperature instability (NBTI); at the same time the trapped charges will scatter channel carriers and reduce the carrier mobility. Normally, the bulk defects are sites of excessive or deficient oxygen atom, or impurities; and this is the starting point for electrical failure and dielectric breakdown. Unfortunately, most of the high k oxides inherently have more interface defects with silicon substrate and bulk defects than SiO 2 as their boning cannot relax easily. Currently, organization will reduce the defect concentration by either process control or engineering of the materials. Fig.4. Schematic diagram of two types of defects located (a) at the HfO2/SiO2 interface and (b) in the bulk of HfO2 film. 8

9 4. Latest Development in Hf-Based High-k Oxides Crystallization of pure HfO2 occurs at only about C causing grain boundary leakage current and non-uniformity of the film thickness. As a result, impurities such as O, B, and P can penetrate the grain boundaries during high temperature post processing. It causes equivalent oxide thickness (EOT) scaling and reliability concerns when Hf-based high-k ultrathin gate oxides are integrated into high temperature CMOS processes Nitrogen Doped Hf-Based High-k Oxides Nitrogen introduction into HfO2 films has significantly improved the electric properties as well as crystallinity but nitrogen doping leads to decreased band gap. Despite the disadvantages, the introduced nitrogen can suppress the growth of microstructure and interfacial layer. When N is added to HfO2, it is expected to distort the equilibrium of the lattice and produce disordered states. Researches have demonstrated that adding nitrogen results in the reduction of the mobility of Hf and O atoms as well as increase in the nucleation temperature and consequently the crystalline temperature. All these indicate that nitrogen acts as a crystallization inhibitor and causes an increase in the crystallization temperature in Hf-based gate dielectrics [5] (Fig. 5). Fig.5. XRD spectra for the HfO2 and HfOxNy films: (a) as-deposited and HfOxNy films annealed at different temperatures and (b) as-deposited and HfO2 films annealed at different temperatures. 9

10 4.2. Silicon Doped Hf-Based High-k Oxides The interfacial layer between the high-k dielectrics and Si substrate is one of the key factors determining the performance and reliability of a MOS transistor. Hence, it is extremely crucial to fabricate a SiO2/Si like interface. A SiO2 interfacial layer is often grown between Hf-based oxide and Si by thermal oxidation, HfO2/SiO2 gate dielectric stack usually introduces an additional EOT increase due to the low k SiOx interfacial layer, whereas addition of Si into Hf-based oxide to form Hf silicate will improve interface quality and foster the formation of amorphous structures. A negative effect is the reduction in the k value, the k value decreases inversely with increasing Si concentration in Hf-based oxides. By using nitrogen incorporated HfSiO films, HfSiON is thermally stable compared to HfO2 due to the Si-N bonds that are created by the nitridation step, and thus HfSiON has the potential for implementation in a conventional gate-first process with high temperature activation annealing Aluminum Doped Hf-Based High-k Oxides Al inclusion in HfO2 significantly increases the crystallization temperature. It can be seen that [10] Fig.6. Crystallization temperature, dielectric constant of HfAlO as functions of Al percentage. 10

11 the crystallization temperature increases monotonically from 375 o C for HfO 2 to 1000 o C for HfAlO with 45.5% Al. At an Al content of 31.7%, the crystallization temperature is about o C higher than that without Al. Figure 6 shows that the crystallization temperature increases as the increment of Al contend in HfAlO, and the dielectric constant will decrease correspondingly. This is due to the action of Al as a network modifier and stabilizes the amorphous phase of the metal oxides. It has been proved by Zhu et al [10], Moon et al [11], that the addition of Al also causes a corresponding increase of the PDA (post deposition anneal) temperature at which the peak gate leakage current occurs. Figure 7 shows the experimental results for where the leakage current for MOS capacitors with about 1.1 nm equivalent oxide thickness (EOT) of HfO 2, is plotted as a function of PDA temperature from 300 o C to 800 o C. The temperature corresponding to the peak leakage current is about 500 o C for HfO 2, 700 o C for HfAlO with 6.8% of Al, and estimated to be well above 800 o C for HfAlO with 31.7% of Al. Fig.7. Gate leakage currents of HfO 2, HfAlO with about 6.8% of Al and HfAlO with about 31.7% of Al, as functions of PDA temperature. 11

12 Most of high-k dielectric films are about to be crystallized during deposition or subsequent high temperature process. Polycrystallization of thin films generates grain boundaries in thin dielectric films which act as leakage current path, resulting in high leakage current. Thus, the onset of rising leakage current is probably due to crystallization of the film, causing increased leakage through grain boundaries. While with introducing in the Al component, it is clearly seen that HfAlO film remained amorphous after PDA at 700 o C, while HfO 2 was crystallized. Figure 8 shows the XTEM images of HfO 2 and HfAlO with TaN gate electrode. Both films undergo the same temperature of 400 o C deposition conditions and same PDA of 700 o C for 1 mins [11]. Fig.8. XTEM images of HfO 2 and HfAlO after 700 o C in-situ PDA treatment. HfAlO layer remains amorphous while HfO 2 is crystallized. Both films were deposited at 400 o C without surface nitridation. Another advantage that Al incorporation brings to the dielectric is the increase of band gap; since the tunneling current could be reduced dramatically as the band offset of the dielectric increases. With Al, the band gap was increased from 5.8eV for pure HfO to 6.5eV for 45.5% Al content 12

13 HfAlO. Despite the advantages of Al doped Hf-based High k oxide, there is one drawback for Al incorporation. It introduces negative fixed oxide charges due to Al accumulation at the HfAlO Si interface, which resulting in channel carrier mobility degradation [12]. Considering the tradeoff among the crystallization temperature, band gap, and dielectric constant, Zhu et al [10] has suggested that the optimum Al concentration is about 30% for conventional self aligned CMOS gate processing technology. 4.4) Tantalum Doped Hf-Based High-k Oxides HfTaO gate dielectrics draw the researchers attention due to its good thermal stability and electrical characteristics. With introducing Ta into HfO, it enhances the crystallization temperature significantly while keeping a relatively high k value of about 17 [13]. Zhu et al [14] has confirmed that with the incorporation of 43% Ta dramatically increases the crystallization temperature of HFO up to 1000 o C. The degradation of dielectric constant is also avoided due to the high dielectric constant of Ta 2 O 5 ( k ~ 26). Since the HfTaO with 43% Ta film remains amorphous after activation annealing at 950 o C for 30s, remarkable suppression of low k interfacial layer growth and boron penetration were achieved. Figure 9 shows the crystallization temperature vs Ta composition, which indicate that about 43% Ta has the highest crystallization temperature about 1000 o C. This may be attributed to the breaking of the periodic crystal arrangement or the inhibition of continuous crystal growth in gate dielectric by incorporating Ta into HfO film. Figure 10 present the TEM images, indicating the HfO film is fully crystallized whereas the HfTaO with 43% Ta film remains amorphous after same condition of 700 o C PDA; meanwhile by comparing the thickness for interlayers, it tells that the amorphous layer of HfTaO can effectively block oxygen diffusion through the grain boundaries to form low k interfacial layer during the high temperature annealing. 13

14 Fig.9. XRD spectra of HfO2, HfTaO, and Ta2O5 for as-deposited and different temperature annealing in N2. The crystallization temperature of HfO2 film is increased up to 1000 C by incorporating 43% Ta.[14] Fig.10. TEM images of HfO2 and HfTaO with 43% Ta after PDA at 700 C for 40 s and activation annealing at 950 C for 30 s. The HfO2 film is fully crystallized whereas the HfTaO with 43% Ta film remains amorphous.[14] 14

15 It is also indicated that the boron penetration induced flat band voltage shift in HfO film is significantly suppressed by incorporating Ta. Figure 11 shows the negligible flat band voltage shift of HfTaO with 43% Ta film is observed up to 950 C annealing temperature. The excellent boron penetration immunity is due to its amorphous structure which remains after high temperature processes in the device fabrication. As Boron from the p+ ploy silicon gate electrode may easily diffused not only through the gate dielectric layer, but also into the channel region during the dopant activation annealing, it results in interface degradation and flat band voltage shift. Thus, the 43% Ta doped Hf based high k dielectric could increase the reliability and performance of the device. Zhang et al [15] also proved that compared to HfO gate dielectrics, HfTaO has advantages of much lower charge trapping and at about 40% content of Ta, N-MOSFETs demonstrate the highest electron mobility than other percentages. Fig.11. Comparison of the flat-band voltage shift in HfO2 and HfTaO p-type MOS capacitors after various temperature annealing. HfTaO films show a stronger immunity to boron penetration than HfO2, due to its high crystallization temperature. [14] 15

16 4.5. Lanthanum Doped Hf-Based High-k Oxides In addition to Si, N, Al, Ta, rare earth element La can also be used to improve the characteristics of Hf based high k dielectrics. With introduction of La 2 O 3 into HfO, the crystallization temperature is increased. As shown in figure 12, the crystallization temperature could be increased above 900 C respected to the increase of the La content. Moreover, unlike Al, N doped dielectric, the permittivity of HfLaO still keep at high k value, normally above 20 [16]. Fig.12. XRD spectra of 30 nm films of _a_ HfO2, _b_ La2O3, _c_33%la HfLaOx, and _d_ 40%La HfLaOx annealed at various temperatures. HfO2 and La2O3 films crystallize at under 600 C. On the other hand, 40%La HfLaOx film remains amorphous after 900 C annealing. Another advantage for HfLaO is that the small degradation in both the interface and bulk properties. This is proved by Yamamoto et al [16]. In the capacitance voltage (C V) curve at different frequencies, the curves show negligibly small hysteresis and no frequency dispersion. Even at 1 khz, could not observe even a small hump, which is often detected in C-V curves of high k MOS capacitors. This result indicates a rather good quality of Si/HfLaO interface. The C-V curve of MOS capacitors with even thicker HfLaO film has also shown the negligibly small hysteresis and no 16

17 frequency dispersion that indicate the good bulk properties with a very low fixed charge density. Figure 13 introduce the C-V curve for Au/40%La-HfLaO/p-Si metal insulator semiconductor. Fig.13. C-V characteristics of Au/40%La HfLaOx / p-si MOS capacitor annealed at 600 C. The film thickness was 8.4 nm. It shows very small hysteresis and frequency dispersion. The inset in the upper right shows the flatband voltages of Au/20%La HfLaO/ p-si or 40%La HfLaO/ p-si MOS. It is also shown in figure 13 that La based dielectrics exhibit negative flat band shifts from the ideal value; but the shift is not remarkable even in a thick film. Considering the high crystallization temperature (>900) and high dielectric constant, good quality of bulk and interface properties with few fixed charges, La doped Hf based high k dielectric is one of the potential materials for high k gate insulator. 17

18 5. Conclusion This assignment reviews the motivation to replace traditional SiO2 gate dielectrics, brief history of high-k materials development, requirements of high-k dielectrics and latest development in Hfbased high-k dielectrics. In order to improve the performance of CMOS devices, Hf-based gate layers are being integrated into MOSFETs to achieve low leakage current. Excellent gate transistors with improved performance based on Hf-based gate dielectrics as the insulating layers are expected. Although much progress has been made in fabricating novel gate dielectrics, investigation of these Hf-based high-k gate dielectrics continues to be exciting and the final target has not yet been reached. 18

19 6. References [i] Robertson, J. (2005). Interfaces and defects of high-k oxides on silicon, vol. 49, pp , Solid-State Electronics. [ii] K.J.Choi, J.H.Kim, J. H.; Yoon, S.G. & Shin, W.C. (2004) Structural and electrical properties of HfOxNy and HfO2 gate dielectrics in TaN gated nmoscap and nmosfet devices, vol. 22, pp , J. Vac. Sci. Technol. B. [iii] Zhu, W. J.; Tamagawa, T.; Gibson, M.; Furukawa, T. & Ma, T. P. (2002). Effect of Al inclusion in HfO<sub>2</sub> on the physical and electrical properties of the dielectrics, vol. 23, pp , IEEE Electron Device Lett. [iv] Yu, X.-F.; Zhu, C.-X.; Li, M. F.; Chin, A.; Du, A. Y.; Wang, W. D. & Kwong, D.-L. (2004). Electrical characteristics and suppressed boron penetration behavior of thermally stable HfTaO gate dielectrics with polycrystalline-silicon gate, vol. 85, pp , Appl. Phys. Lett. [v] Huang, A.P; Yang, Z.C; Chu, P.K, Hafnium-based High-k Gate Dielectrics. [vi] An, C.-H.; Lee, M. S.; Choi, J.-Y. & Kim, H. (2009). Change of the trap energy levels of the atomic layer deposited HfLaO[sub x] films with different La concentration, vol. 94, pp , Appl. Phys. Lett. [vii] Chau, R.; Datta, S.; Doczy, M.; Doyle, B.; Kavalieros J.; & Metz M. (2004). High-k/Metal Gate Stack and Its MOSFET Characteristics, vol. 25, pp , IEEE Electron Device Lett. [viii] Buhrman, R. A. & Ellis, K. A. (1999). Time-dependent diffusivity of boron in silicon oxide and oxynitrides, vol. 74, pp , Appl. Phys. Lett. [ix] Robertson, J. (2000). Band offsets of wide-band-gap oxides and implications for future electronic devices, vol. 18, pp , J. Vac. Sci. Technol. B. [x] Zhu, W. J.; Tamagawa, T.; Gibson, M.; Furukawa, T. & Ma, T. P. (2002). Effect of Al inclusion in HfO<sub>2</sub> on the physical and electrical properties of the dielectrics, vol. 23, pp , IEEE Electron Device Lett. [xi] Joo, M. S.; Cho, B. J.; Yeo, C. C.; Chan, S. H.; Whoang, S. J.; Mathew, S.; Kanta, B. L. & Balasubramanian, N. (2003). Dim-Lee, Kwong Formation of hafnium-aluminum-oxide gate dielectric using single cocktail liquid source in MOCVD process, vol. 50, pp , IEEE Transactions on Electron Devices. [xii] Bae, S. H.; Lee, C. H.; Clark, R. & Kwong, D. L. (2003). MOS characteristics of ultrathin CVD HfAlO gate dielectrics, vol. 24, pp , IEEE Electron Device Lett. [xiii] Lu, X.-b.; Maruyama, K. & Ishiwara, H. (2008). Characterization of HfTaO films for gate oxide and metal-ferroelectric-insulator-silicon device applications, vol. 103, pp , J. Appl. Phys. 19

20 [xiv] Yu, X.-F.; Zhu, C.-X.; Li, M. F.; Chin, A.; Du, A. Y.; Wang, W. D. & Kwong, D.-L. (2004). Electrical characteristics and suppressed boron penetration behavior of thermally stable HfTaO gate dielectrics with polycrystalline-silicon gate, vol. 85, pp , Appl. Phys. Lett. [xv] Zhang, M.H.; Rhee, S. J.; Kang, C. Y.; Choi, C. H.; Akbar, M. S.; Krishnan, S. A.; Lee, T.; Ok, I. J.; Zhu, F.; Kim, H. S. & Lee, J. C. (2005). Improved electrical and material characteristics of HfTaO gate dielectrics with high crystallization temperature, vol. 87, pp , Appl. Phys. Lett. [xvi] Yamamoto, Y.; Kita, K.; Kyuno, K. & Toriumi, A. (2006). Structural and electrical properties of HfLaO[sub x] films for an amorphous high-k gate insulator, vol. 89, pp , Appl. Phys. Lett. 20

Hei Wong.

Hei Wong. Defects and Disorders in Hafnium Oxide and at Hafnium Oxide/Silicon Interface Hei Wong City University of Hong Kong Email: heiwong@ieee.org Tokyo MQ2012 1 Outline 1. Introduction, disorders and defects

More information

MOS Gate Dielectrics. Outline

MOS Gate Dielectrics. Outline MOS Gate Dielectrics Outline Scaling issues Technology Reliability of SiO 2 Nitrided SiO 2 High k dielectrics 42 Incorporation of N or F at the Si/SiO 2 Interface Incorporating nitrogen or fluorine instead

More information

Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric

Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric K. Matano 1, K. Funamizu 1, M. Kouda 1, K. Kakushima 2, P. Ahmet 1, K. Tsutsui 2, A. Nishiyama 2, N. Sugii

More information

Nagatsuta, Midori-ku, Yokohama , Japan. Technology, 4259-S2-20 Nagatsuta, Midori-ku, Yokohama , Japan

Nagatsuta, Midori-ku, Yokohama , Japan. Technology, 4259-S2-20 Nagatsuta, Midori-ku, Yokohama , Japan Improvement of Interface Properties of W/La O 3 /Si MOS Structure Using Al Capping Layer K. Tachi a, K. Kakushima b, P. Ahmet a, K. Tsutsui b, N. Sugii b, T. Hattori a, and H. Iwai a a Frontier Collaborative

More information

MOLYBDENUM AS A GATE ELECTRODE FOR DEEP SUB-MICRON CMOS TECHNOLOGY

MOLYBDENUM AS A GATE ELECTRODE FOR DEEP SUB-MICRON CMOS TECHNOLOGY Mat. Res. Soc. Symp. Vol. 611 2000 Materials Research Society MOLYBDENUM AS A GATE ELECTRODE FOR DEEP SUB-MICRON CMOS TECHNOLOGY Pushkar Ranade, Yee-Chia Yeo, Qiang Lu, Hideki Takeuchi, Tsu-Jae King, Chenming

More information

Annual Meeting. North Carolina State University Dr. Veena Misra. January 17 19, 2017 December

Annual Meeting. North Carolina State University Dr. Veena Misra. January 17 19, 2017 December Annual Meeting North Carolina State University Dr. Veena Misra January 17 19, 2017 December 8 2015 1 Misra Group at NCSU Over 9 years experience in wide band gap research on SiC, GaN and Ga2O3. World leaders

More information

Interface Structure and Charge Trapping in HfO 2 -based MOSFETS

Interface Structure and Charge Trapping in HfO 2 -based MOSFETS Interface Structure and Charge Trapping in HfO 2 -based MOSFETS MURI - ANNUAL REVIEW, 13 and 14 th May 2008 S.K. Dixit 1, 2, T. Feng 6 X.J. Zhou 3, R.D. Schrimpf 3, D.M. Fleetwood 3,4, S.T. Pantelides

More information

Lecture 4. Oxidation (applies to Si and SiC only) Reading: Chapter 4

Lecture 4. Oxidation (applies to Si and SiC only) Reading: Chapter 4 Lecture 4 Oxidation (applies to Si and SiC only) Reading: Chapter 4 Introduction discussion: Oxidation: Si (and SiC) Only The ability to grow a high quality thermal oxide has propelled Si into the forefront

More information

Reliability and Stability Issues for Lanthanum Silicate as a High-K Dielectric. Raleigh NC 27695, USA. Raleigh NC 27695, USA

Reliability and Stability Issues for Lanthanum Silicate as a High-K Dielectric. Raleigh NC 27695, USA. Raleigh NC 27695, USA 10.1149/1.2355716, copyright The Electrochemical Society Reliability and Stability Issues for Lanthanum Silicate as a High-K Dielectric Daniel J. Lichtenwalner a, Jesse S. Jur a, Steven Novak b, Veena

More information

State of the art quality of a GeOx interfacial passivation layer formed on Ge(001)

State of the art quality of a GeOx interfacial passivation layer formed on Ge(001) APPLICATION NOTE State of the art quality of a Ox interfacial passivation layer formed on (001) Summary A number of research efforts have been made to realize Metal-Oxide-Semiconductor Field Effect Transistors

More information

Materials Characterization

Materials Characterization Materials Characterization C. R. Abernathy, B. Gila, K. Jones Cathodoluminescence (CL) system FEI Nova NanoSEM (FEG source) with: EDAX Apollo silicon drift detector (TE cooled) Gatan MonoCL3+ FEI SEM arrived

More information

FAST AND SLOW-STATE TRAPS AT THE MOSFET OXIDE INTERFACE WITH A TEMPERATURE DEPENDENT C-V METHOD.

FAST AND SLOW-STATE TRAPS AT THE MOSFET OXIDE INTERFACE WITH A TEMPERATURE DEPENDENT C-V METHOD. Journal of Electron Devices, Vol. 1, 2003, pp. 1-6 JED [ISSN: 1682-3427] Journal of Electron Devices www.j-elec-dev.org FAST AND SLOW-STATE TRAPS AT THE MOSFET OXIDE INTERFACE WITH A TEMPERATURE DEPENDENT

More information

IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 55, NO. 4, AUGUST

IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 55, NO. 4, AUGUST IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 55, NO. 4, AUGUST 2008 1833 Radiation Effects in MOS Oxides James R. Schwank, Fellow, IEEE, Marty R. Shaneyfelt, Fellow, IEEE, Daniel M. Fleetwood, Fellow, IEEE,

More information

Peter Gu, W. Walkosz, R.F. Klie Nanoscale Physics Group University of Illinois at Chicago

Peter Gu, W. Walkosz, R.F. Klie Nanoscale Physics Group University of Illinois at Chicago Stabilizing Cubic HfO 2 Doped Y 2 O 3 using TEM http://www.tedpella.com/grids_html/si-window.jpg Peter Gu, W. Walkosz, R.F. Klie Nanoscale Group University of Illinois at Chicago Moore s Law 1965 by Intel

More information

The semiconductor industry is now

The semiconductor industry is now Gate Dielectric Process Technology for the Sub-1 nm Equivalent Oxide Thickness (EOT) Era by L. Colombo, J. J. Chambers, and H. Niimi The semiconductor industry is now in its third generation of gate dielectrics.

More information

RHEED AND XPS STUDIES OF THE DECOMPOSITION OF SILICON DIOXIDE BY THE BOMBARDMENT OF METAL IONS

RHEED AND XPS STUDIES OF THE DECOMPOSITION OF SILICON DIOXIDE BY THE BOMBARDMENT OF METAL IONS Surface Review and Letters, Vol. 8, No. 5 (2001) 521 526 c World Scientific Publishing Company RHEED AND XPS STUDIES OF THE DECOMPOSITION OF SILICON DIOXIDE BY THE BOMBARDMENT OF METAL IONS S. J. WANG,

More information

MOS Front-End. Field effect transistor

MOS Front-End. Field effect transistor MOS Front-End Back-end Transistor Contact Front-end p-well STI n-well Front-end-of-line includes substrate, isolation, wells, transistor, silicide Field effect transistor MOSFET: Metal-Oxide-Semiconductor

More information

Development of Low Temperature Oxidation Process Using Ozone For VlSI

Development of Low Temperature Oxidation Process Using Ozone For VlSI Development of Low Temperature Oxidation Process Using Ozone For VlSI Yudhvir Singh Chib Electronics & Communication Department, Thapar University, Patiala, India Abstract: With decreasing size of MOS

More information

Al 2 O 3 SiO 2 stack with enhanced reliability

Al 2 O 3 SiO 2 stack with enhanced reliability Al 2 O 3 SiO 2 stack with enhanced reliability M. Lisiansky, a A. Fenigstein, A. Heiman, Y. Raskin, and Y. Roizin Tower Semiconductor Ltd., P.O. Box 619, Migdal HaEmek 23105, Israel L. Bartholomew and

More information

Activation Behavior of Boron and Phosphorus Atoms Implanted in Polycrystalline Silicon Films by Heat Treatment at 250 C

Activation Behavior of Boron and Phosphorus Atoms Implanted in Polycrystalline Silicon Films by Heat Treatment at 250 C Japanese Journal of Applied Physics Vol. 44, No. 3, 2005, pp. 1186 1191 #2005 The Japan Society of Applied Physics Activation Behavior of Boron and Phosphorus Atoms Implanted in Polycrystalline Silicon

More information

Radiation Tolerant Isolation Technology

Radiation Tolerant Isolation Technology Radiation Tolerant Isolation Technology Background The following contains a brief description of isolation technologies used for radiation hardened integrated circuits. The technologies mentioned are junction

More information

Semiconductor Technology

Semiconductor Technology Semiconductor Technology from A to Z Oxidation www.halbleiter.org Contents Contents List of Figures List of Tables II III 1 Oxidation 1 1.1 Overview..................................... 1 1.1.1 Application...............................

More information

Advanced Gate Stack, Source/Drain, and Channel Engineering for Si-Based CMOS 6: New Materials, Processes, and Equipment

Advanced Gate Stack, Source/Drain, and Channel Engineering for Si-Based CMOS 6: New Materials, Processes, and Equipment Advanced Gate Stack, Source/Drain, and Channel Engineering for Si-Based CMOS 6: New Materials, Processes, and Equipment Editors: E. P. Gusev Qualcomm MEMS Technologies San Jose, California, USA D-L. Kwong

More information

A New High-k Transistor Technology Implemented in Accordance with the 55nm Design Rule Process

A New High-k Transistor Technology Implemented in Accordance with the 55nm Design Rule Process A New High-k Transistor Technology Implemented in Accordance with the 55nm Design Rule Process FUKASE Tadashi, NAKAHARA Yasushi, TAKAHASHI Toshifumi, IMAI Kiyotaka Abstract NEC Electronics has developed

More information

1. Introduction. What is implantation? Advantages

1. Introduction. What is implantation? Advantages Ion implantation Contents 1. Introduction 2. Ion range 3. implantation profiles 4. ion channeling 5. ion implantation-induced damage 6. annealing behavior of the damage 7. process consideration 8. comparison

More information

EE 434 Lecture 9. IC Fabrication Technology

EE 434 Lecture 9. IC Fabrication Technology EE 434 Lecture 9 IC Fabrication Technology Quiz 7 The layout of a film resistor with electrodes A and B is shown. If the sheet resistance of the film is 40 /, determine the resistance between nodes A and

More information

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook:

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook: HOMEWORK 4 and 5 March 15, 2009 Homework is due on Monday March 30, 2009 in Class. Chapter 7 Answer the following questions from the Course Textbook: 7.2, 7.3, 7.4, 7.5, 7.6*, 7.7, 7.9*, 7.10*, 7.16, 7.17*,

More information

Device Simulation of Grain Boundaries in Lightly Doped Polysilicon Films and Analysis of Dependence on Defect Density

Device Simulation of Grain Boundaries in Lightly Doped Polysilicon Films and Analysis of Dependence on Defect Density Jpn. J. Appl. Phys. Vol. 40 (2001) pp. 49 53 Part 1, No. 1, January 2001 c 2001 The Japan Society of Applied Physics Device Simulation of Grain Boundaries in Lightly Doped Polysilicon Films and Analysis

More information

Lecture 22: Integrated circuit fabrication

Lecture 22: Integrated circuit fabrication Lecture 22: Integrated circuit fabrication Contents 1 Introduction 1 2 Layering 4 3 Patterning 7 4 Doping 8 4.1 Thermal diffusion......................... 10 4.2 Ion implantation.........................

More information

Challenges of Silicon Carbide MOS Devices

Challenges of Silicon Carbide MOS Devices Indo German Winter Academy 2012 Challenges of Silicon Carbide MOS Devices Arjun Bhagoji IIT Madras Tutor: Prof. H. Ryssel 12/17/2012 1 Outline What is Silicon Carbide (SiC)? Why Silicon Carbide? Applications

More information

Process Integration. NMOS Generic NMOS Process Flow. CMOS - The MOSIS Process Flow

Process Integration. NMOS Generic NMOS Process Flow. CMOS - The MOSIS Process Flow Process Integration Self-aligned Techniques LOCOS- self-aligned channel stop Self-aligned Source/Drain Lightly Doped Drain (LDD) Self-aligned silicide (SALICIDE) Self-aligned oxide gap MEMS Release Techniques

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time Etching Dry etch (anisotropic) SiO

More information

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) contents UNIT I INTRODUCTION: Introduction to IC Technology MOS, PMOS, NMOS, CMOS & BiCMOS technologies. BASIC ELECTRICAL PROPERTIES : Basic Electrical

More information

Tri-Gate Transistor Architecture with High-k Gate Dielectrics, Metal Gates and Strain Engineering

Tri-Gate Transistor Architecture with High-k Gate Dielectrics, Metal Gates and Strain Engineering Tri-Gate Transistor Architecture with High-k Gate Dielectrics, Metal Gates and Strain Engineering Jack Kavalieros, Brian Doyle, Suman Datta, Gilbert Dewey, Mark Doczy, Ben Jin, Dan Lionberger, Matthew

More information

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation. Figure 2.1 (p. 58) Basic fabrication steps in the silicon planar process: (a) oxide formation, (b) selective oxide removal, (c) deposition of dopant atoms on wafer, (d) diffusion of dopant atoms into exposed

More information

Schottky-Barrier-Height Modulation of Ni Silicide/Si Contacts by Insertion of Thin Er or Pt Layers

Schottky-Barrier-Height Modulation of Ni Silicide/Si Contacts by Insertion of Thin Er or Pt Layers Schottky-Barrier-Height Modulation of Ni Silicide/Si Contacts by Insertion of Thin Er or Pt Layers Yoshihisa Ohishi 1, Kohei Noguchi 1, Kuniyuki Kakushima 2, Parhat Ahmet 1, Kazuo Tsutsui 2, Nobuyuki Sugii

More information

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon Chapter 5 Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon 5.1 Introduction In this chapter, we discuss a method of metallic bonding between two deposited silver layers. A diffusion

More information

Silicon Wafer Processing PAKAGING AND TEST

Silicon Wafer Processing PAKAGING AND TEST Silicon Wafer Processing PAKAGING AND TEST Parametrical test using test structures regularly distributed in the wafer Wafer die test marking defective dies dies separation die fixing (not marked as defective)

More information

EE 560 FABRICATION OF MOS CIRCUITS. Kenneth R. Laker, University of Pennsylvania

EE 560 FABRICATION OF MOS CIRCUITS. Kenneth R. Laker, University of Pennsylvania 1 EE 560 FABRICATION OF MOS CIRCUITS 2 CMOS CHIP MANUFACTRING STEPS Substrate Wafer Wafer Fabrication (diffusion, oxidation, photomasking, ion implantation, thin film deposition, etc.) Finished Wafer Wafer

More information

Anodic Aluminium Oxide for Passivation in Silicon Solar Cells

Anodic Aluminium Oxide for Passivation in Silicon Solar Cells Anodic Aluminium Oxide for Passivation in Silicon Solar Cells School of Photovoltaic & Renewable Energy Engineering Zhong Lu Supervisor: Alison Lennon May. 2015 Co-supervisor: Stuart Wenham Outline Introduction

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

Microelectronics Reliability

Microelectronics Reliability Microelectronics Reliability 52 (212) 143 149 Contents lists available at SciVerse ScienceDirect Microelectronics Reliability journal homepage: www.elsevier.com/locate/microrel Physical properties and

More information

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras Lecture - 33 Problems in LOCOS + Trench Isolation and Selective Epitaxy So, we are discussing

More information

High Temperature Oxygen Out-Diffusion from the Interfacial SiOx Bond Layer in Direct Silicon Bonded (DSB) Substrates

High Temperature Oxygen Out-Diffusion from the Interfacial SiOx Bond Layer in Direct Silicon Bonded (DSB) Substrates High Temperature Oxygen Out-Diffusion from the Interfacial SiOx Bond Layer in Direct Silicon Bonded (DSB) Substrates Jim Sullivan, Harry R. Kirk, Sien Kang, Philip J. Ong, and Francois J. Henley Silicon

More information

Precursors with Metal-Nitrogen Bonds for ALD of Metals, Nitrides and Oxides

Precursors with Metal-Nitrogen Bonds for ALD of Metals, Nitrides and Oxides Precursors with Metal-Nitrogen Bonds for ALD of Metals, Nitrides and Oxides Abstract Roy Gordon Gordon@chemistry.harvard.edu, Cambridge, MA To achieve ALD s unique characteristics, ALD precursors must

More information

Fabrication of Ru/Bi 4-x La x Ti 3 O 12 /Ru Ferroelectric Capacitor Structure Using a Ru Film Deposited by Metalorganic Chemical Vapor Deposition

Fabrication of Ru/Bi 4-x La x Ti 3 O 12 /Ru Ferroelectric Capacitor Structure Using a Ru Film Deposited by Metalorganic Chemical Vapor Deposition Mat. Res. Soc. Symp. Proc. Vol. 784 2004 Materials Research Society C7.7.1 Fabrication of Ru/Bi 4-x La x Ti 3 O 12 /Ru Ferroelectric Capacitor Structure Using a Ru Film Deposited by Metalorganic Chemical

More information

Brian Izzio 5th Year Microelectronic Engineering Student. Rochester Institute of Technology

Brian Izzio 5th Year Microelectronic Engineering Student. Rochester Institute of Technology CAPACITAWZE-VOI~TAGE characterization FOR POLYSILICON GATE MOS CAPACITORS DJTRODUcTION Brian Izzio 5th Year Microelectronic Engineering Student Rochester Institute of Technology ABSTRACT The effects of

More information

The Progress and Challenges of Applying High-k/Metal-Gated Devices to Advanced CMOS Technologies

The Progress and Challenges of Applying High-k/Metal-Gated Devices to Advanced CMOS Technologies The Progress and Challenges of Applying High-k/Metal-Gated Devices to Advanced CMOS Technologies 8 Hsing-Huang Tseng, Ph.D. Professor of Electrical Engineering Ingram School of Engineering Texas State

More information

Chemistry and Materials Research ISSN (Print) ISSN (Online) Vol.3 No.4, 2013

Chemistry and Materials Research ISSN (Print) ISSN (Online) Vol.3 No.4, 2013 Fabrication and Electrical characteristic of quaternary ultrathin HfTiErO thin films for MOS devices grown by rf sputtering Murad Ali Khaskheli 1,4, Ping Wu 1*, Amir Mahmood Soomro 3, Matiullah Khan 2

More information

Correlation Between Energy Gap and Defect Formation of Al Doped Zinc Oxide on Carbon Doped Silicon Oxide

Correlation Between Energy Gap and Defect Formation of Al Doped Zinc Oxide on Carbon Doped Silicon Oxide TRANSACTIONS ON ELECTRICAL AND ELECTRONIC MATERIALS Vol. 15, No. 4, pp. 207-212, August 25, 2014 Regular Paper pissn: 1229-7607 eissn: 2092-7592 DOI: http://dx.doi.org/10.4313/teem.2014.15.4.207 Correlation

More information

Atomic Layer Deposition of High-k k Dielectric and Metal Gate Stacks for MOS Devices

Atomic Layer Deposition of High-k k Dielectric and Metal Gate Stacks for MOS Devices International Conference on Characterization and Metrology for ULSI Technology March 15-18, 2005 Atomic Layer Deposition of High-k k Dielectric and Metal Gate Stacks for MOS Devices Yoshi Senzaki, Kisik

More information

Suppressive Effect of Interface Reaction and Water Absorption by Al Incorporation into Pr-oxide Film

Suppressive Effect of Interface Reaction and Water Absorption by Al Incorporation into Pr-oxide Film Journal of Physics: Conference Series Suppressive Effect of Interface Reaction and Water Absorption by Al Incorporation into Pr-oxide Film To cite this article: W Takeuchi et al 213 J. Phys.: Conf. Ser.

More information

Redox-Active Molecular Flash Memory for On-Chip Memory

Redox-Active Molecular Flash Memory for On-Chip Memory Redox-Active Molecular Flash Memory for On-Chip Memory By Hao Zhu Electrical and Computer Engineering George Mason University, Fairfax, VA 2013.10.24 Outline Introduction Molecule attachment method & characterizations

More information

Tuning Schottky Barrier Height of Ni Germanide for High Performance Nano-scale Ge MOSFETs Application

Tuning Schottky Barrier Height of Ni Germanide for High Performance Nano-scale Ge MOSFETs Application Tuning Schottky Barrier Height of Ni Germanide for High Performance Nano-scale Ge MOSFETs Application YING-YING ZHANG, JUNG-DEUK BOK, SANG-UK PARK, BYOUNG-SOEK PARK, SE-KYUNG OH, HONG-SIK SHIN, HYUK-MIM

More information

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS AND FABRICATION ENGINEERING ATTHE MICRO- NANOSCALE Fourth Edition STEPHEN A. CAMPBELL University of Minnesota New York Oxford OXFORD UNIVERSITY PRESS CONTENTS Preface xiii prrt i OVERVIEW AND MATERIALS

More information

VLSI Technology. By: Ajay Kumar Gautam

VLSI Technology. By: Ajay Kumar Gautam By: Ajay Kumar Gautam Introduction to VLSI Technology, Crystal Growth, Oxidation, Epitaxial Process, Diffusion Process, Ion Implantation, Lithography, Etching, Metallization, VLSI Process Integration,

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

Impurity free vacancy disordering of InGaAs quantum dots

Impurity free vacancy disordering of InGaAs quantum dots JOURNAL OF APPLIED PHYSICS VOLUME 96, NUMBER 12 15 DECEMBER 2004 Impurity free vacancy disordering of InGaAs quantum dots P. Lever, H. H. Tan, and C. Jagadish Department of Electronic Materials Engineering,

More information

Hydrothermal Synthesis of Nano-sized PbTiO3 Powder and Epitaxial Film for Memory Capacitor Application

Hydrothermal Synthesis of Nano-sized PbTiO3 Powder and Epitaxial Film for Memory Capacitor Application American Journal of Materials Science and Technology (2012) 1: 22-27 doi:10.7726/ajmst.2012.1004 Research Article Hydrothermal Synthesis of Nano-sized PbTiO3 Powder and Epitaxial Film for Memory Capacitor

More information

Effect of grain size on the mobility and transfer characteristics of polysilicon thin-film transistors

Effect of grain size on the mobility and transfer characteristics of polysilicon thin-film transistors Indian Journal of Pure & Applied Physics Vol. 42, July 2004, pp 528-532 Effect of grain size on the mobility and transfer characteristics of polysilicon thin-film transistors Navneet Gupta* & B P Tyagi**

More information

FABRICATION of MOSFETs

FABRICATION of MOSFETs FABRICATION of MOSFETs CMOS fabrication sequence -p-type silicon substrate wafer -creation of n-well regions for pmos transistors, -impurity implantation into the substrate. -thick oxide is grown in the

More information

Assignment Questions

Assignment Questions HIGH SPEED DEVICES AND CIRCUITS Assignment Questions 1) Why Silicon Semiconductors are widely used in the VLSI applications? Hint: Refer Video on Introduction to Basic Concepts 2) What are the parameters

More information

Chapter 2. Density 2.65 g/cm 3 Melting point Young s modulus Tensile strength Thermal conductivity Dielectric constant 3.

Chapter 2. Density 2.65 g/cm 3 Melting point Young s modulus Tensile strength Thermal conductivity Dielectric constant 3. Chapter 2 Thin Film Materials Thin films of Silicon dioxide, Silicon nitride and Polysilicon have been utilized in the fabrication of absolute micro pressure sensor. These materials are studied and discussed

More information

Atomic layer deposition of thin hafnium oxide films using a carbon free precursor

Atomic layer deposition of thin hafnium oxide films using a carbon free precursor JOURNAL OF APPLIED PHYSICS VOLUME 93, NUMBER 1 1 JANUARY 2003 Atomic layer deposition of thin hafnium oxide films using a carbon free precursor J. F. Conley, Jr., a) Y. Ono, D. J. Tweet, and W. Zhuang

More information

The Mobility Enhancement of Indium Gallium Zinc Oxide Transistors via Low-temperature Crystallization using a Tantalum Catalytic Layer

The Mobility Enhancement of Indium Gallium Zinc Oxide Transistors via Low-temperature Crystallization using a Tantalum Catalytic Layer www.nature.com/scientificreports Received: 27 February 2017 Accepted: 24 August 2017 Published: xx xx xxxx OPEN The Mobility Enhancement of Indium Gallium Zinc Oxide Transistors via Low-temperature Crystallization

More information

Effect of High Pressure Deuterium Annealing on Performance and Reliability of MOSFETs with High-k Gate Dielectrics and Metal Gate

Effect of High Pressure Deuterium Annealing on Performance and Reliability of MOSFETs with High-k Gate Dielectrics and Metal Gate Effect of High Pressure Deuterium Annealing on Performance and Reliability of MOSFETs with High-k Gate Dielectrics and Metal Gate H. Park, M. Chang, H. Yang, M. S. Rahman, M. Cho, B.H. Lee*, R. Choi*,

More information

Schottky Tunnel Contacts for Efficient Coupling of Photovoltaics and Catalysts

Schottky Tunnel Contacts for Efficient Coupling of Photovoltaics and Catalysts Schottky Tunnel Contacts for Efficient Coupling of Photovoltaics and Catalysts Christopher E. D. Chidsey Department of Chemistry Stanford University Collaborators: Paul C. McIntyre, Y.W. Chen, J.D. Prange,

More information

Low temperature MOSFET technology with Schottky barrier source/drain, high-k gate dielectric and metal gate electrode

Low temperature MOSFET technology with Schottky barrier source/drain, high-k gate dielectric and metal gate electrode Solid-State Electronics 48 (2004) 1987 1992 www.elsevier.com/locate/sse Low temperature MOSFET technology with Schottky barrier source/drain, high-k gate dielectric and metal gate electrode Shiyang Zhu

More information

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1 Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1 LECTURE 030 INTEGRATED CIRCUIT TECHNOLOGY - I (References [7,8]) Objective The objective of this presentation is: 1.) Illustrate integrated

More information

Is Now Part of To learn more about ON Semiconductor, please visit our website at

Is Now Part of To learn more about ON Semiconductor, please visit our website at Is Now Part of To learn more about ON Semiconductor, please visit our website at www.onsemi.com ON Semiconductor and the ON Semiconductor logo are trademarks of Semiconductor Components Industries, LLC

More information

Oxide Growth. 1. Introduction

Oxide Growth. 1. Introduction Oxide Growth 1. Introduction Development of high-quality silicon dioxide (SiO2) has helped to establish the dominance of silicon in the production of commercial integrated circuits. Among all the various

More information

Isolation Technology. Dr. Lynn Fuller

Isolation Technology. Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Isolation Technology Dr. Lynn Fuller Motorola Professor 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Fax (585) 475-5041

More information

Nanosilicon single-electron transistors and memory

Nanosilicon single-electron transistors and memory Nanosilicon single-electron transistors and memory Z. A. K. Durrani (1, 2) and H. Ahmed (3) (1) Electronic Devices and Materials Group, Engineering Department, University of Cambridge, Trumpington Street,

More information

Project III. 4: THIN FILM DEVICES FOR LARGE AREA ELECTRONICS

Project III. 4: THIN FILM DEVICES FOR LARGE AREA ELECTRONICS Project III. 4: THIN FILM DEVICES FOR LARGE AREA ELECTRONICS Project leader: Dr D.N. Kouvatsos Collaborating researchers from other projects: Dr D. Davazoglou Ph.D. candidates: M. Exarchos, L. Michalas

More information

THE PENNSYLVANIA STATE UNIVERSITY SCHREYER HONORS COLLEGE DEPARTMENT OF ENGINEERING SCIENCE AND MECHANICS

THE PENNSYLVANIA STATE UNIVERSITY SCHREYER HONORS COLLEGE DEPARTMENT OF ENGINEERING SCIENCE AND MECHANICS THE PENNSYLVANIA STATE UNIVERSITY SCHREYER HONORS COLLEGE DEPARTMENT OF ENGINEERING SCIENCE AND MECHANICS A STUDY OF BIASED TARGET ION BEAM DEPOSITED DIELECTRIC OXIDES FELIX ARONOVICH FALL 2013 A thesis

More information

Low contact resistance a-igzo TFT based on. Copper-Molybdenum Source/Drain electrode

Low contact resistance a-igzo TFT based on. Copper-Molybdenum Source/Drain electrode Low contact resistance a-igzo TFT based on Copper-Molybdenum Source/Drain electrode Shi-Ben Hu 1,Hong-Long Ning 1,2, Feng Zhu 1,Rui-QiangTao 1,Xian-Zhe Liu 1, Yong Zeng 1, Ri-Hui Yao 1, Lei Wang 1, Lin-Feng

More information

NiPt salicide process improvement for 28nm CMOS with Pt(10%) additive

NiPt salicide process improvement for 28nm CMOS with Pt(10%) additive NiPt salicide process improvement for 28nm CMOS with Pt(10%) additive Jerander Lai, Yi-Wei Chen, Nien-Ting Ho, Yu Shan Shiu, J F Lin Shuen Chen Lei, Nick ZH Chang, Ling Chun Chou, C C Huang, and J Y Wu

More information

Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very

Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very high voltages (10-600 KeV) Use analyzer to selection charge/mass

More information

Semiconductor Technology

Semiconductor Technology Semiconductor Technology von A bis Z Metallization www.halbleiter.org Contents Contents List of Figures List of Tables II III 1 Metallization 1 1.1 Requirements on metallization........................

More information

Fabrication Technology

Fabrication Technology Fabrication Technology By B.G.Balagangadhar Department of Electronics and Communication Ghousia College of Engineering, Ramanagaram 1 OUTLINE Introduction Why Silicon The purity of Silicon Czochralski

More information

Section 4: Thermal Oxidation. Jaeger Chapter 3. EE143 - Ali Javey

Section 4: Thermal Oxidation. Jaeger Chapter 3. EE143 - Ali Javey Section 4: Thermal Oxidation Jaeger Chapter 3 Properties of O Thermal O is amorphous. Weight Density =.0 gm/cm 3 Molecular Density =.3E molecules/cm 3 O Crystalline O [Quartz] =.65 gm/cm 3 (1) Excellent

More information

MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices

MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices Hitachi Review Vol. 57 (2008), No. 3 127 MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices Tadashi Terasaki Masayuki Tomita Katsuhiko Yamamoto Unryu Ogawa, Dr. Eng. Yoshiki Yonamoto,

More information

From microelectronics down to nanotechnology.

From microelectronics down to nanotechnology. From microelectronics down to nanotechnology sami.franssila@tkk.fi Contents Lithography: scaling x- and y-dimensions MOS transistor physics Scaling oxide thickness (z-dimension) CNT transistors Conducting

More information

Cathodoluminescence measurements of suboxide band-tail and Si dangling bond states at ultrathin Si SiO 2 interfaces

Cathodoluminescence measurements of suboxide band-tail and Si dangling bond states at ultrathin Si SiO 2 interfaces Cathodoluminescence measurements of suboxide band-tail and Si dangling bond states at ultrathin Si SiO 2 interfaces A. P. Young a) Department of Electrical Engineering, The Ohio State University, Columbus,

More information

SEMATECH Symposium Korea 2012 Practical Analysis Techniques of Nanostructured Semiconductors by Electron Microscopy

SEMATECH Symposium Korea 2012 Practical Analysis Techniques of Nanostructured Semiconductors by Electron Microscopy SEMATECH Symposium Korea 2012 Practical Analysis Techniques of Nanostructured Semiconductors by Electron Microscopy Jun-Mo Yang, Ph.D. Measurement & Analysis Team National NanoFab Center, Korea Introduction

More information

Effect of external gettering with porous silicon on the electrical properties of Metal-Oxide-Silicon devices

Effect of external gettering with porous silicon on the electrical properties of Metal-Oxide-Silicon devices Available online at www.sciencedirect.com www.elsevier.com/locate/xxx Physics Physics Procedia 2 (2009) (2008) 983 988 000 000 www.elsevier.com/locate/procedia Proceedings of the JMSM 2008 Conference Effect

More information

Simulation study on the active layer thickness and the interface of a-igzo-tft with double active layers

Simulation study on the active layer thickness and the interface of a-igzo-tft with double active layers Front. Optoelectron. 2015, 8(4): 445 450 DOI 10.1007/s12200-014-0451-1 RESEARCH ARTICLE Simulation study on the active layer thickness and the interface of a-igzo-tft with double active layers Xiaoyue

More information

Modeling and Electrical Characterization of Ohmic Contacts on n-type GaN

Modeling and Electrical Characterization of Ohmic Contacts on n-type GaN Modeling and Electrical Characterization of Ohmic Contacts on n-type GaN Sai Rama Usha Ayyagari Thesis submitted to the faculty of the Virginia Polytechnic Institute and State University in partial fulfillment

More information

Evaluation of silicon nitride and silicon carbide as efficient polysilicon grain-growth inhibitors

Evaluation of silicon nitride and silicon carbide as efficient polysilicon grain-growth inhibitors JOURNAL OF MATERIALS SCIENCE LETTERS 18 (1999)1427 1431 Evaluation of silicon nitride and silicon carbide as efficient polysilicon grain-growth inhibitors C. L. CHA, E. F. CHOR Center For Optoelectronics,

More information

CHAD ROBERT ESSARY UNIVERSITY OF FLORIDA

CHAD ROBERT ESSARY UNIVERSITY OF FLORIDA ULTRAVIOLET-ASSISTED OXIDATION AND NITRIDATION OF HAFNIUM AND HAFNIUM ALUMINUM ALLOYS AS POTENTIAL GATE DIELECTRICS FOR METAL OXIDE SEMICONDUCTOR APPLICATIONS By CHAD ROBERT ESSARY A DISSERTATION PRESENTED

More information

Thermal Evaporation. Theory

Thermal Evaporation. Theory Thermal Evaporation Theory 1. Introduction Procedures for depositing films are a very important set of processes since all of the layers above the surface of the wafer must be deposited. We can classify

More information

I. GaAs Material Properties

I. GaAs Material Properties I. GaAs Material Properties S. Kayali GaAs is a III V compound semiconductor composed of the element gallium (Ga) from column III and the element arsenic (As) from column V of the periodic table of the

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 9/13/2007 Fabrication Technology Lecture 1 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world)

More information

Workfunction Tuning for Single-Metal Dual-Gate With Mo and NiSi Electrodes

Workfunction Tuning for Single-Metal Dual-Gate With Mo and NiSi Electrodes tivation Workfunction Tuning for ngle-metal Dual-Gate With and i Electrodes poly- Gate Gate depletion effect -Effective oxide thickness increase Metal Gate o gate depletion effect K.Sano, M.Hino, and K.Shibahara

More information

High Performance p-type Black Phosphorus. Transistor with Scandium Contact

High Performance p-type Black Phosphorus. Transistor with Scandium Contact Supplementary information: High Performance p-type Black Phosphorus Transistor with Scandium Contact Ling Li,* Michael Engel, + Damon B. Farmer, + Shu-jen Han, + H.-S. Philip Wong* *Department of Electrical

More information

COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING

COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING Lynne Michaelson 1, Anh Viet Nguyen 2, Krystal Munoz 1, Jonathan C. Wang

More information

EE 330 Fall Ruden Michael. Al Kaabi Humaid. Archer Tyler. Hafeez Mustafa. Mullen Taylor. Thedens Peter. Cao Khoi.

EE 330 Fall Ruden Michael. Al Kaabi Humaid. Archer Tyler. Hafeez Mustafa. Mullen Taylor. Thedens Peter. Cao Khoi. 1 2 5 6 3 4 8 7 1 2 3 4 5 6 ROW EE 330 Fall 2017 9 10 Al Kaabi Humaid Alegria Francisco Allison Trenton Alva Caroline Archer Tyler Bahashwan Abdullah Betke Jarrett Chun Junho Davidson Caleb Faronbi Matthew

More information

Large-Grain Polysilicon Films with Low Intragranular Defect Density by Low- Temperature Solid-Phase Crystallization

Large-Grain Polysilicon Films with Low Intragranular Defect Density by Low- Temperature Solid-Phase Crystallization Mat. Res. Soc. Symp. Proc. Vol. 715 2002 Materials Research Society Large-Grain Polysilicon Films with Low Intragranular Defect Density by Low- Temperature Solid-Phase Crystallization Xiang-Zheng Bo, Nan

More information

I. INTRODUCTION. Horyeong Lee 1, Meng Li 1, Jungwoo Oh 2, and Hi-Deok Lee 1,* Schottky diode, effective Schottky barrier height, n- channel MOSFETs

I. INTRODUCTION. Horyeong Lee 1, Meng Li 1, Jungwoo Oh 2, and Hi-Deok Lee 1,* Schottky diode, effective Schottky barrier height, n- channel MOSFETs JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.15, NO.1, FEBRUARY, 2015 http://dx.doi.org/10.5573/jsts.2015.15.1.041 A Study of the Dependence of Effective Schottky Barrier Height in Ni Silicide/n-Si

More information

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications Journal of ELECTRONIC MATERIALS, Vol. 31, No. 5, 2002 Special Issue Paper Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems

More information