Laser Crystallization for Low- Temperature Poly-Silicon (LTPS)

Size: px
Start display at page:

Download "Laser Crystallization for Low- Temperature Poly-Silicon (LTPS)"

Transcription

1 Laser Crystallization for Low- Temperature Poly-Silicon (LTPS) David Grant University of Waterloo ECE 639 Dr. Andrei Sazonov

2 What s the current problem in AM- LCD and large-area area imaging? a-si:h has poor mobility (< 1 cm 2 /V s), which means low frequency operation and low current operation Putting driver circuitry in crystal silicon for imaging/display applications is costly due to packaging

3 Poly-Si has the required mobility (µ( e cm2 V -1 s-1 ) for on- display circuits. Can be processed at low temperatures on glass or plastic Can be created on the same substrate as a-a Si:H devices This will lead to SoG (System-on on-glass) Poly-Si to the rescue Glass) TV: 575 x 720 Mammography: 3600 x 4800

4 What is Poly-Si Si? Grains of crystalline silicon with no amorphous phase Grain size: <100 nm, up to µm m (24 µm m single-grain grain reported by Yoshimoto using PMELA, and 70 µm m reported by Choi) Produced from solidification/crystallization of molten silicon films, using excimer or solid-state state (CW or pulsed) lasers Used in some available commercial devices, mostly for projectors and some small displays

5 Conduction in Poly-Si Conduction is limited by two effects: Grain boundaries (in intrinsic and extrinsic poly-si Si) Grain boundary traps (primarily in extrinsic poly-si Si) Solution: Hydrogen passivation Lightly doped Doped Heavily Doped

6 Other Poly-Si Fabrication Techniques Solid Phase Crystallization (SPC) Low-Pressure Chemical Vapour Deposition (LPCVD) Plasma-Enhanced Chemical Vapour Deposition (PECVD) Hot-Wire CVD (HW-CVD) 1 µm m grain size µ e 40 cm2 V -1 s-1 long thermal anneal times (1-2 2 days for <600 o C, can be reduced using applied fields or metal catalysts) 100 nm grain size µ e 5 cm2 V -1 s o C (deposition on glass possible) µ e 60 cm2 V -1 s-1 Lots of defects present in grains o C from SiF 4 /SiH 4 /He gas mixture o C µ e 130 cm2 V -1 s-1 -Good luck getting these to work on PET plastic (maximum T=120 o C)! -Many of these techniques create poly-si with many defects in the grains themselves

7 Laser Annealing/Crystallization Advantages: Quick heating times (~30 ns) and fast cooling times (~ ns) ensure the substrate does not melt (at least not completely) High absorbency in a-sia Si,, low absorbency in substrate means low temperature process. No real low temperature limit. Highest substrate temperature determine by other step(s) ) in process. Good spatial selectivity for integration of poly-si with a-si:h devices. Beam size: mm 2 Large-sized, quality grains can be produced Pulse length: ns. Two types of lasers: Excimer Solid-State State

8 Laser Processing Precursor Films Pre-cursor films can be made from PECVD, LPCVD, or sputtered Si 1. Require fully amorphous material: For PECVD, use low H 2 concentration, and/or low RF power to prevent µc-si phase For LPCVD, keep pressure high, and/or use lower temperature 2. Low hydrogen content (~3%) helpful, or else dehydrogenation anneal is required For LPCVD

9 Processing Excimer Laser Three flavours of rare gas-halogen lasers: ArF (193 nm) KrF (248 nm) Good gas stability XeCl (308 nm) Good gas stability, high absorption in a-si:ha film XeF (351 nm) Excimer Laser Annealing (ELA) is the most common type of laser crystallization Annealing

10 Grain Growth Depedence on Laser Fluence Grain growth, and hence grain size and mobility depends strongly on laser pulse energy Fluence = [mj[ mj cm -2 ] Some key values: Melting point: a-si 1400 K c-si = 1687 K Latent heat of fusion: a-si 1300 J/g c-si = 1800 J/g

11 Low Fluence Regime Explosive crystallization Fine-grained/small grained/small- grained poly-si 150 mj/cm mj/cm 2

12 Sub-Critical Fluence Regime melt depth < film thickness Explosive crystallization near interface Poly-Si near surface Fine-grained/small grained/small- grained poly-si 200 mj/cm mj/cm 2

13 Sub-Critical and Critical Fluence Regime Near-complete melting Grains nucleate from unmelted a-si islands near substrate interface At critical fluence, Super Lateral Grain (SLG) growth occurs Grain size up to 100 times film thickness can grow

14 Super-Critical Fluence Regime Complete melting Rapid cooling via substrate leads to homogeneous nucleation from melt Film is quenched Small, fine grains

15 Densities: Surface Roughening Liquid Si: : 2.53 g/cm 3 Solid Si: : 2.30 g/cm 3 Most pronounced during SLG at critical fluence Remove by: Stepped laser fluence levels Step-annealing Chemical- mechanical polish Use bottom- gate TFT

16 Problems/Issues With Poly-Si Processing Grain Size Need grain size large as possible Homogeneity/uniformity paramount Need to have consistent device parameters and hence performance Surface Roughening It is a matter of creating a few spectacular devices with a wide variation versus creating all <<good>> devices with good parameters in a narrow distribution (Boyce, 2000)

17 Basic Techniques For Uniformity Small-pitch scanning (pitch less than beam size) Laser power stepping (slowly building to critical fluence) Multiple pulses ( pulses) Grain size is often sacrificed Asai, 1993

18 Processing Solid State Laser Annealing Part 1 (Dassow,, 2002) Pulsed solid state laser Nd:YVO 4 (532 nm) 100 Hz repetition rate Can withstand 30% variation in laser power 410 cm 2 /(V s)

19 Processing Solid State Laser Annealing Part 2 (Hara, 2002) Continous solid state laser Nd:YVO 4 (532 nm) 1% laser power stability Directional scanning produces long grains 566 cm 2 /(V s)

20 Advanced Techniques - Mariucci Mariucci,, 2000 Two-pass masking technique

21 Advanced Techniques Mariucci cont d

22 Advanced Techniques Mariucci cont d

23 poly-si Thin-Film Transistors (TFT) Top-gate structure: Good: top half of poly-si has high mobility Bad: top-half has lots of surface roughness Bottom-gate structure: Good: avoid surface roughness Bad: location of gate means lower laser fluence and low mobility

24 Integration of poly-si and a-si:ha a-si:h for pixel switches due to its low leakage current poly-si for driver circuity due to its higher speed Need to get rid of hydrogen in poly-si device, crystallize, then re-hydrate Top-gate a-si:ha TFT is SO bad (0.2 cm 2 /V s), so use bottom-gate Choice of gate oxide

25 Conclusion Commercial devices already available, mostly in projectors and small displays (digital camera and G3 cell phones) FlexICs has Ultra-Low Temperature Poly- Silicon (ULTPS) top-gate process with NMOS and PMOS mobilities of 250 and 200 cm 2 /V s Still lots of work to be done to get mammography x-ray x sensor arrays on plastic

EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES

EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES G. Fortunato, A. Pecora, L. Maiolo, M. Cuscunà, D. Simeone, A. Minotti, and L. Mariucci CNR-IMM,

More information

Lecture #9: Active-Matrix LCDs

Lecture #9: Active-Matrix LCDs Lecture #9: Active-Matrix LCDs Introduction OUTLINE Active-matrix switching elements TFT performance requirements Active matrix processing constraints Amorphous silicon (a-si) TFT technology TFT fabrication

More information

Excimer Laser Annealing of Hydrogen Modulation Doped a-si Film

Excimer Laser Annealing of Hydrogen Modulation Doped a-si Film Materials Transactions, Vol. 48, No. 5 (27) pp. 975 to 979 #27 The Japan Institute of Metals Excimer Laser Annealing of Hydrogen Modulation Doped a-si Film Akira Heya 1, Naoto Matsuo 1, Tadashi Serikawa

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules 2. CMOS Fabrication, Layout, Design Rules Last module: Introduction to the course How a transistor works CMOS transistors This module: CMOS Fabrication Design Rules CMOS Fabrication CMOS transistors are

More information

Activation Behavior of Boron and Phosphorus Atoms Implanted in Polycrystalline Silicon Films by Heat Treatment at 250 C

Activation Behavior of Boron and Phosphorus Atoms Implanted in Polycrystalline Silicon Films by Heat Treatment at 250 C Japanese Journal of Applied Physics Vol. 44, No. 3, 2005, pp. 1186 1191 #2005 The Japan Society of Applied Physics Activation Behavior of Boron and Phosphorus Atoms Implanted in Polycrystalline Silicon

More information

Lecture Day 2 Deposition

Lecture Day 2 Deposition Deposition Lecture Day 2 Deposition PVD - Physical Vapor Deposition E-beam Evaporation Thermal Evaporation (wire feed vs boat) Sputtering CVD - Chemical Vapor Deposition PECVD LPCVD MVD ALD MBE Plating

More information

Investigation on solid-phase crystallization techniques for low temperature polysilicon thinfilm transistors

Investigation on solid-phase crystallization techniques for low temperature polysilicon thinfilm transistors Rochester Institute of Technology RIT Scholar Works Theses Thesis/Dissertation Collections 2013 Investigation on solid-phase crystallization techniques for low temperature polysilicon thinfilm transistors

More information

Fabrication and Layout

Fabrication and Layout ECEN454 Digital Integrated Circuit Design Fabrication and Layout ECEN 454 3.1 A Glimpse at MOS Device Polysilicon Aluminum ECEN 475 4.2 1 Material Classification Insulators Glass, diamond, silicon oxide

More information

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation. Figure 2.1 (p. 58) Basic fabrication steps in the silicon planar process: (a) oxide formation, (b) selective oxide removal, (c) deposition of dopant atoms on wafer, (d) diffusion of dopant atoms into exposed

More information

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation Micro-Electro-Mechanical Systems (MEMS) Fabrication Fabrication Considerations Stress-Strain, Thin-film Stress, Stiction Special Process Modules for MEMS Bonding, Cavity Sealing, Deep RIE, Spatial forming

More information

Project III. 4: THIN FILM DEVICES FOR LARGE AREA ELECTRONICS

Project III. 4: THIN FILM DEVICES FOR LARGE AREA ELECTRONICS Project III. 4: THIN FILM DEVICES FOR LARGE AREA ELECTRONICS Project leader: Dr D.N. Kouvatsos Collaborating researchers from other projects: Dr D. Davazoglou Ph.D. candidates: M. Exarchos, L. Michalas

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 9/13/2007 Fabrication Technology Lecture 1 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world)

More information

Polysilicon TFT Fabrication on Plastic Substrates

Polysilicon TFT Fabrication on Plastic Substrates p,!- / A : -- UCRL-JC-128224 PREPRINT Polysilicon TFT Fabrication on Plastic Substrates P. G. Carey, P.M. Smith, P. Wickboldt, M. O. Thompson, T. W. Sigmon This paper was prepared for submittal to the

More information

Silicon Wafer Processing PAKAGING AND TEST

Silicon Wafer Processing PAKAGING AND TEST Silicon Wafer Processing PAKAGING AND TEST Parametrical test using test structures regularly distributed in the wafer Wafer die test marking defective dies dies separation die fixing (not marked as defective)

More information

Introduction. 1. Sputtering process, target materials and their applications

Introduction. 1. Sputtering process, target materials and their applications Sputtering is widely used in the production of electronic devices such as liquid crystal displays (LCDs), optical media, magnetic media and semiconductors. The Kobelco Research Institute, Inc. has been

More information

11.3 Polishing with Laser Radiation

11.3 Polishing with Laser Radiation 196 E. Willenborg 11.3 Polishing with Laser Radiation Edgar Willenborg The surface roughness of a part or product strongly influences its properties and functions. Among these can be counted abrasion and

More information

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther EECS 40 Spring 2003 Lecture 19 Microfabrication 4/1/03 Prof. ndy Neureuther How are Integrated Circuits made? Silicon wafers Oxide formation by growth or deposition Other films Pattern transfer by lithography

More information

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications Journal of ELECTRONIC MATERIALS, Vol. 31, No. 5, 2002 Special Issue Paper Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems

More information

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon Chapter 5 Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon 5.1 Introduction In this chapter, we discuss a method of metallic bonding between two deposited silver layers. A diffusion

More information

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1 Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1 LECTURE 030 INTEGRATED CIRCUIT TECHNOLOGY - I (References [7,8]) Objective The objective of this presentation is: 1.) Illustrate integrated

More information

Pulsed-Laser-Induced Microcrystallization and Amorphization of Silicon Thin Films

Pulsed-Laser-Induced Microcrystallization and Amorphization of Silicon Thin Films Jpn. J. Appl. Phys. Vol. 4 (21) pp. 48 485 Part 1, No. 2A, February 21 c 21 The Japan Society of Applied Physics Pulsed-Laser-Induced Microcrystallization and Amorphization of Silicon Thin Films Seiichiro

More information

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) contents UNIT I INTRODUCTION: Introduction to IC Technology MOS, PMOS, NMOS, CMOS & BiCMOS technologies. BASIC ELECTRICAL PROPERTIES : Basic Electrical

More information

Thin film silicon technology. Cosimo Gerardi 3SUN R&D Tech. Coordinator

Thin film silicon technology. Cosimo Gerardi 3SUN R&D Tech. Coordinator Thin film silicon technology Cosimo Gerardi 3SUN R&D Tech. Coordinator 1 Outline Why thin film Si? Advantages of Si thin film Si thin film vs. other thin film Hydrogenated amorphous silicon Energy gap

More information

3 Pulsed laser ablation and etching of fused silica

3 Pulsed laser ablation and etching of fused silica 3 Pulsed laser ablation and etching of fused silica 17 3 Pulsed laser ablation and etching of fused silica Material erosion caused by short laser pulses takes place far from equilibrium and may be based

More information

Cu Wiring Process for TFTs - Improved Hydrogen Plasma Resistance with a New Cu Alloy -

Cu Wiring Process for TFTs - Improved Hydrogen Plasma Resistance with a New Cu Alloy - Cu Wiring Process for TFTs - Improved Hydrogen Plasma Resistance with a New Cu Alloy - Masanori Shirai*, Satoru Takazawa*, Satoru Ishibashi*, Tadashi Masuda* As flat-screen TVs become larger and their

More information

VLSI Digital Systems Design

VLSI Digital Systems Design VLSI Digital Systems Design CMOS Processing cmpe222_03process_ppt.ppt 1 Si Purification Chemical purification of Si Zone refined Induction furnace Si ingot melted in localized zone Molten zone moved from

More information

ME 141B: The MEMS Class Introduction to MEMS and MEMS Design. Sumita Pennathur UCSB

ME 141B: The MEMS Class Introduction to MEMS and MEMS Design. Sumita Pennathur UCSB ME 141B: The MEMS Class Introduction to MEMS and MEMS Design Sumita Pennathur UCSB Outline today Introduction to thin films Oxidation Deal-grove model CVD Epitaxy Electrodeposition 10/6/10 2/45 Creating

More information

Laser Processing of Si-TFT s on Plastic: Technology and Lessons from FlexICs

Laser Processing of Si-TFT s on Plastic: Technology and Lessons from FlexICs Laser Processing of Si-TFT s on Plastic: Technology and Lessons from FlexICs Michael Thompson MS&E Cornell University Flexible Electronics Course Lecture April 4, 2006 Applications for Flexible Electronics

More information

Surface micromachining and Process flow part 1

Surface micromachining and Process flow part 1 Surface micromachining and Process flow part 1 Identify the basic steps of a generic surface micromachining process Identify the critical requirements needed to create a MEMS using surface micromachining

More information

Materials Characterization

Materials Characterization Materials Characterization C. R. Abernathy, B. Gila, K. Jones Cathodoluminescence (CL) system FEI Nova NanoSEM (FEG source) with: EDAX Apollo silicon drift detector (TE cooled) Gatan MonoCL3+ FEI SEM arrived

More information

VLSI Systems and Computer Architecture Lab

VLSI Systems and Computer Architecture Lab ΚΥΚΛΩΜΑΤΑ VLSI Πανεπιστήμιο Ιωαννίνων CMOS Technology Τμήμα Μηχανικών Η/Υ και Πληροφορικής 1 From the book: An Introduction ti to VLSI Process By: W. Maly ΚΥΚΛΩΜΑΤΑ VLSI Διάρθρωση 1. N well CMOS 2. Active

More information

Despina C Moschou. National and Kapodistrian University of Athens, Department of Informatics and Telecommunications

Despina C Moschou. National and Kapodistrian University of Athens, Department of Informatics and Telecommunications Fabrication technology development of thin film transistors optimized with respect to the structure of the silicon films that results from the crystallization process Despina C Moschou National and Kapodistrian

More information

Yung-Hui Yeh, and Bo-Cheng Kung Display Technology Center (DTC), Industrial Technology Research Institute, Hsinchu 310, Taiwan

Yung-Hui Yeh, and Bo-Cheng Kung Display Technology Center (DTC), Industrial Technology Research Institute, Hsinchu 310, Taiwan Amorphous In 2 O 3 -Ga 2 O 3 -ZnO Thin Film Transistors and Integrated Circuits on Flexible and Colorless Polyimide Substrates Hsing-Hung Hsieh, and Chung-Chih Wu* Graduate Institute of Electronics Engineering,

More information

Process Integration. NMOS Generic NMOS Process Flow. CMOS - The MOSIS Process Flow

Process Integration. NMOS Generic NMOS Process Flow. CMOS - The MOSIS Process Flow Process Integration Self-aligned Techniques LOCOS- self-aligned channel stop Self-aligned Source/Drain Lightly Doped Drain (LDD) Self-aligned silicide (SALICIDE) Self-aligned oxide gap MEMS Release Techniques

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

Amorphous Oxide Transistor Electrokinetic Reflective Display on Flexible Glass

Amorphous Oxide Transistor Electrokinetic Reflective Display on Flexible Glass Amorphous Oxide Transistor Electrokinetic Reflective Display on Flexible Glass Devin A. Mourey, Randy L. Hoffman, Sean M. Garner *, Arliena Holm, Brad Benson, Gregg Combs, James E. Abbott, Xinghua Li*,

More information

Integrated Amorphous and Polycrystalline Silicon Thin-Film Transistors in a Single Silicon Layer

Integrated Amorphous and Polycrystalline Silicon Thin-Film Transistors in a Single Silicon Layer IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 48, NO. 4, APRIL 2001 707 Integrated Amorphous and Polycrystalline Silicon Thin-Film Transistors in a Single Silicon Layer Kiran Pangal, Member, IEEE, James

More information

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005 3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005 1) This is an open book, take-home quiz. You are not to consult with other class members or anyone else. You may discuss the

More information

Laser Micromachining of Bulk Substrates and Thin Films Celine Bansal

Laser Micromachining of Bulk Substrates and Thin Films Celine Bansal Laser Micromachining of Bulk Substrates and Thin Films Celine Bansal Oxford Lasers Ltd Moorbrook Park Didcot, Oxfordshire, OX11 7HP Tel: +44 (0) 1235 810088 www.oxfordlasers.com Outline Oxford Lasers Importance

More information

Device Simulation of Grain Boundaries in Lightly Doped Polysilicon Films and Analysis of Dependence on Defect Density

Device Simulation of Grain Boundaries in Lightly Doped Polysilicon Films and Analysis of Dependence on Defect Density Jpn. J. Appl. Phys. Vol. 40 (2001) pp. 49 53 Part 1, No. 1, January 2001 c 2001 The Japan Society of Applied Physics Device Simulation of Grain Boundaries in Lightly Doped Polysilicon Films and Analysis

More information

FABRICATION of MOSFETs

FABRICATION of MOSFETs FABRICATION of MOSFETs CMOS fabrication sequence -p-type silicon substrate wafer -creation of n-well regions for pmos transistors, -impurity implantation into the substrate. -thick oxide is grown in the

More information

Hei Wong.

Hei Wong. Defects and Disorders in Hafnium Oxide and at Hafnium Oxide/Silicon Interface Hei Wong City University of Hong Kong Email: heiwong@ieee.org Tokyo MQ2012 1 Outline 1. Introduction, disorders and defects

More information

Nanosilicon single-electron transistors and memory

Nanosilicon single-electron transistors and memory Nanosilicon single-electron transistors and memory Z. A. K. Durrani (1, 2) and H. Ahmed (3) (1) Electronic Devices and Materials Group, Engineering Department, University of Cambridge, Trumpington Street,

More information

Challenges and Future Directions of Laser Fuse Processing in Memory Repair

Challenges and Future Directions of Laser Fuse Processing in Memory Repair Challenges and Future Directions of Laser Fuse Processing in Memory Repair Bo Gu, * T. Coughlin, B. Maxwell, J. Griffiths, J. Lee, J. Cordingley, S. Johnson, E. Karagiannis, J. Ehrmann GSI Lumonics, Inc.

More information

Issue 51 September 2013

Issue 51 September 2013 Laser Decapsulation By Christopher Henderson Historically, failure analysts used either mechanical or chemical means to decapsulate integrated circuits. They used primarily mechanical means on hermetically-sealed

More information

TFT Backplane Technologies for AMLCD and AMOLED Applications

TFT Backplane Technologies for AMLCD and AMOLED Applications Journal of the Korean Physical Society, Vol. 54, No. 1, January 2009, pp. 549553 TFT Backplane Technologies for AMLCD and AMOLED Applications Jae Beom Choi, Young Jin Chang, Cheol Ho Park, Beom Rak Choi

More information

Visualization and Control of Particulate Contamination Phenomena in a Plasma Enhanced CVD Reactor

Visualization and Control of Particulate Contamination Phenomena in a Plasma Enhanced CVD Reactor Visualization and Control of Particulate Contamination Phenomena in a Plasma Enhanced CVD Reactor Manabu Shimada, 1 Kikuo Okuyama, 1 Yutaka Hayashi, 1 Heru Setyawan, 2 and Nobuki Kashihara 2 1 Department

More information

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook:

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook: HOMEWORK 4 and 5 March 15, 2009 Homework is due on Monday March 30, 2009 in Class. Chapter 7 Answer the following questions from the Course Textbook: 7.2, 7.3, 7.4, 7.5, 7.6*, 7.7, 7.9*, 7.10*, 7.16, 7.17*,

More information

Chapter 8: Strain Hardening and Annealing

Chapter 8: Strain Hardening and Annealing Slide 1 Chapter 8: Strain Hardening and Annealing 8-1 Slide 2 Learning Objectives 1. Relationship of cold working to the stress-strain curve 2. Strain-hardening mechanisms 3. Properties versus percent

More information

Sputtering Target of Oxide Semiconductor with High Electron Mobility and High Stability for Flat Panel Displays

Sputtering Target of Oxide Semiconductor with High Electron Mobility and High Stability for Flat Panel Displays ELECTRONICS Sputtering Target of Oxide Semiconductor with High Electron Mobility and High Stability for Flat Panel Displays Miki MIYANAGA*, Kenichi WATATANI, and Hideaki AWATA ----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------

More information

Amorphous Silicon Solar Cells

Amorphous Silicon Solar Cells The Birnie Group solar class and website were created with much-appreciated support from the NSF CRCD Program under grants 0203504 and 0509886. Continuing Support from the McLaren Endowment is also greatly

More information

Section 4: Thermal Oxidation. Jaeger Chapter 3. EE143 - Ali Javey

Section 4: Thermal Oxidation. Jaeger Chapter 3. EE143 - Ali Javey Section 4: Thermal Oxidation Jaeger Chapter 3 Properties of O Thermal O is amorphous. Weight Density =.0 gm/cm 3 Molecular Density =.3E molecules/cm 3 O Crystalline O [Quartz] =.65 gm/cm 3 (1) Excellent

More information

Amorphous silicon waveguides for microphotonics

Amorphous silicon waveguides for microphotonics 4 Amorphous silicon waveguides for microphotonics Amorphous silicon a-si was made by ion irradiation of crystalline silicon with 1 10 15 Xe ions cm 2 at 77 K in the 1 4 MeV energy range. Thermal relaxation

More information

200mm Next Generation MEMS Technology update. Florent Ducrot

200mm Next Generation MEMS Technology update. Florent Ducrot 200mm Next Generation MEMS Technology update Florent Ducrot The Most Exciting Industries on Earth Semiconductor Display Solar 20,000,000x reduction in COST PER TRANSISTOR in 30 years 1 20x reduction in

More information

Doris Ehrt and Doris Möncke. Friedrich Schiller University of Jena, Otto-Schott-Institut, Fraunhoferstr. 6, D Jena, Germany,

Doris Ehrt and Doris Möncke. Friedrich Schiller University of Jena, Otto-Schott-Institut, Fraunhoferstr. 6, D Jena, Germany, Charge transfer absorption of Fe 3+ and Fe + complexes and UV radiation induced defects in different glasses Doris Ehrt and Doris Möncke Friedrich Schiller University of Jena, Otto-Schott-Institut, Fraunhoferstr.

More information

Process Flow in Cross Sections

Process Flow in Cross Sections Process Flow in Cross Sections Process (simplified) 0. Clean wafer in nasty acids (HF, HNO 3, H 2 SO 4,...) --> wear gloves! 1. Grow 500 nm of SiO 2 (by putting the wafer in a furnace with O 2 2. Coat

More information

Preparation and Characterization of Micro-Crystalline Hydrogenated Silicon Carbide p-layers

Preparation and Characterization of Micro-Crystalline Hydrogenated Silicon Carbide p-layers Preparation and Characterization of Micro-Crystalline Hydrogenated Silicon Carbide p-layers Erten Eser, Steven S. Hegedus and Wayne A. Buchanan Institute of Energy Conversion University of Delaware, Newark,

More information

2-inch polycrystalline silicon thin film transistor array. using field aided lateral crystallization

2-inch polycrystalline silicon thin film transistor array. using field aided lateral crystallization 2-inch polycrystalline silicon thin film transistor array using field aided lateral crystallization JAE HOON JUNG, MYEONG HO KIM, YOUNG BAE KIM a, DUCK-KYUN CHOI, Division of Materials Science and Engineering,

More information

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University 2014 Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University Page1 Syllabus UNIT 1 Introduction to VLSI Technology: Classification of ICs, Scale of integration,

More information

3. Overview of Microfabrication Techniques

3. Overview of Microfabrication Techniques 3. Overview of Microfabrication Techniques The Si revolution First Transistor Bell Labs (1947) Si integrated circuits Texas Instruments (~1960) Modern ICs More? Check out: http://www.pbs.org/transistor/background1/events/miraclemo.html

More information

MOLYBDENUM AS A GATE ELECTRODE FOR DEEP SUB-MICRON CMOS TECHNOLOGY

MOLYBDENUM AS A GATE ELECTRODE FOR DEEP SUB-MICRON CMOS TECHNOLOGY Mat. Res. Soc. Symp. Vol. 611 2000 Materials Research Society MOLYBDENUM AS A GATE ELECTRODE FOR DEEP SUB-MICRON CMOS TECHNOLOGY Pushkar Ranade, Yee-Chia Yeo, Qiang Lu, Hideki Takeuchi, Tsu-Jae King, Chenming

More information

Large-Grain Polysilicon Films with Low Intragranular Defect Density by Low- Temperature Solid-Phase Crystallization

Large-Grain Polysilicon Films with Low Intragranular Defect Density by Low- Temperature Solid-Phase Crystallization Mat. Res. Soc. Symp. Proc. Vol. 715 2002 Materials Research Society Large-Grain Polysilicon Films with Low Intragranular Defect Density by Low- Temperature Solid-Phase Crystallization Xiang-Zheng Bo, Nan

More information

LASER MICROPROCESSING POWERED BY UV PHOTONS Paper #P109

LASER MICROPROCESSING POWERED BY UV PHOTONS Paper #P109 LASER MICROPROCESSING POWERED BY UV PHOTONS Paper #P109 Ralph Delmdahl, Rainer Paetzel Coherent GmbH, Hans-Boeckler-Str.12, Goettingen, 37079, Germany Abstract Lasers with ultraviolet (UV) output offer

More information

Lecture 200 BiCMOS Technology (12/12/01) Page 200-1

Lecture 200 BiCMOS Technology (12/12/01) Page 200-1 Lecture 200 BiCMOS Technology (12/12/01) Page 200-1 LECTURE 200 BICMOS TECHNOLOGY (READING: Text-Sec. 2.11) INTRODUCTION Objective Illustrate BiCMOS technology Outline Introduction Physical process illustration

More information

Polycrystalline silicon thin film transistors

Polycrystalline silicon thin film transistors Home Search Collections Journals About Contact us My IOPscience Polycrystalline silicon thin film transistors This article has been downloaded from IOPscience. Please scroll down to see the full text article.

More information

VLSI Technology. By: Ajay Kumar Gautam

VLSI Technology. By: Ajay Kumar Gautam By: Ajay Kumar Gautam Introduction to VLSI Technology, Crystal Growth, Oxidation, Epitaxial Process, Diffusion Process, Ion Implantation, Lithography, Etching, Metallization, VLSI Process Integration,

More information

Atomic Layer Deposition(ALD)

Atomic Layer Deposition(ALD) Atomic Layer Deposition(ALD) AlO x for diffusion barriers OLED displays http://en.wikipedia.org/wiki/atomic_layer_deposition#/media/file:ald_schematics.jpg Lam s market-leading ALTUS systems combine CVD

More information

Visit

Visit Practical Applications for Nano- Electronics by Vimal Gopee E-mail: Vimal.gopee@npl.co.uk 10/10/12 Your Delegate Webinar Control Panel Open and close your panel Full screen view Raise hand for Q&A at the

More information

MICROFABRICATION OF OPTICALLY ACTIVE InO X MICROSTRUCTURES BY ULTRASHORT LASER PULSES

MICROFABRICATION OF OPTICALLY ACTIVE InO X MICROSTRUCTURES BY ULTRASHORT LASER PULSES Journal of Optoelectronics and Advanced Materials Vol. 4, No. 3, September 2002, p. 809-812 MICROFABRICATION OF OPTICALLY ACTIVE InO X MICROSTRUCTURES BY ULTRASHORT LASER PULSES Foundation for Research

More information

Behavior of the parameters of microcrystalline silicon TFTs under mechanical strain. S. Janfaoui*, C. Simon, N. Coulon, T.

Behavior of the parameters of microcrystalline silicon TFTs under mechanical strain. S. Janfaoui*, C. Simon, N. Coulon, T. Author manuscript, published in "Solid-State Electronics 93 (2014) 1-7" DOI : 10.1016/j.sse.2013.12.001 Behavior of the parameters of microcrystalline silicon TFTs under mechanical strain S. Janfaoui*,

More information

Obviously the type of product or coating required will determine the surface required & technique to produce it.

Obviously the type of product or coating required will determine the surface required & technique to produce it. Etching & texturing polymer films - different options for patterned deposition or encouraging nucleation. Charles A. Bishop C.A.Bishop Consulting Ltd. Abstract. A number of the nanotechnologies or psuedonanotechnologies

More information

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Metallization deposition and etching. Material mainly taken from Campbell, UCCS Metallization deposition and etching Material mainly taken from Campbell, UCCS Application Metallization is back-end processing Metals used are aluminum and copper Mainly involves deposition and etching,

More information

Production of PV cells

Production of PV cells Production of PV cells MWp 1400 1200 Average market growth 1981-2003: 32% 2004: 67% 1000 800 600 400 200 0 1991 1992 1993 1994 1995 1996 1997 1998 1999 2000 2001 2002 2003 2004 rest 1.0 1.0 1.0 2.0 4.0

More information

OPTICAL, ELECTRICAL AND STRUCTURAL PROPERTIES OF PECVD QUASI EPITAXIAL PHOSPHOROUS DOPED SILICON FILMS ON CRYSTALLINE SILICON SUBSTRATE

OPTICAL, ELECTRICAL AND STRUCTURAL PROPERTIES OF PECVD QUASI EPITAXIAL PHOSPHOROUS DOPED SILICON FILMS ON CRYSTALLINE SILICON SUBSTRATE OPTICAL, ELECTRICAL AN STRUCTURAL PROPERTIES OF PECV QUASI EPITAXIAL PHOSPHOROUS OPE SILICON FILMS ON CRYSTALLINE SILICON SUBSTRATE Mahdi Farrokh-Baroughi, Hassan El-Gohary, and Siva Sivoththaman epartment

More information

Basics of Solar Photovoltaics. Photovoltaics (PV) Lecture-21

Basics of Solar Photovoltaics. Photovoltaics (PV) Lecture-21 Lecture-21 Basics of Solar Photovoltaics Photovoltaics (PV) Photovoltaics (PV) comprise the technology to convert sunlight directly into electricity. The term photo means light and voltaic, electricity.

More information

Process steps for Field Emitter devices built on Silicon wafers And 3D Photovoltaics on Silicon wafers

Process steps for Field Emitter devices built on Silicon wafers And 3D Photovoltaics on Silicon wafers Process steps for Field Emitter devices built on Silicon wafers And 3D Photovoltaics on Silicon wafers David W. Stollberg, Ph.D., P.E. Research Engineer and Adjunct Faculty GTRI_B-1 Field Emitters GTRI_B-2

More information

Lecture 22: Integrated circuit fabrication

Lecture 22: Integrated circuit fabrication Lecture 22: Integrated circuit fabrication Contents 1 Introduction 1 2 Layering 4 3 Patterning 7 4 Doping 8 4.1 Thermal diffusion......................... 10 4.2 Ion implantation.........................

More information

High-Resolution, Electrohydrodynamic Inkjet Printing of Stretchable, Metal Oxide Semiconductor Transistors with High Performances

High-Resolution, Electrohydrodynamic Inkjet Printing of Stretchable, Metal Oxide Semiconductor Transistors with High Performances Electronic Supplementary Material (ESI) for Nanoscale. This journal is The Royal Society of Chemistry 2016 ` Electronic Supplementary Information High-Resolution, Electrohydrodynamic Inkjet Printing of

More information

The Effects of Defects on the Moisture-Barrier Performance of Clear Coatings on Polymer Substrates.

The Effects of Defects on the Moisture-Barrier Performance of Clear Coatings on Polymer Substrates. AIMCAL, CHARLESTON, SC, 2013 The Effects of Defects on the Moisture-Barrier Performance of Clear Coatings on Polymer Substrates. The National Centre for Printed Electronics ALF part SMITH of Centre for

More information

Chapter 2. Density 2.65 g/cm 3 Melting point Young s modulus Tensile strength Thermal conductivity Dielectric constant 3.

Chapter 2. Density 2.65 g/cm 3 Melting point Young s modulus Tensile strength Thermal conductivity Dielectric constant 3. Chapter 2 Thin Film Materials Thin films of Silicon dioxide, Silicon nitride and Polysilicon have been utilized in the fabrication of absolute micro pressure sensor. These materials are studied and discussed

More information

ZnO-based Transparent Conductive Oxide Thin Films

ZnO-based Transparent Conductive Oxide Thin Films IEEE EDS Mini-colloquium WIMNACT 32 ZnO-based Transparent Conductive Oxide Thin Films Weijie SONG Ningbo Institute of Material Technology and Engineering, Chinese Academy of Sciences, Ningbo, P. R. China

More information

Materials, Electronics and Renewable Energy

Materials, Electronics and Renewable Energy Materials, Electronics and Renewable Energy Neil Greenham ncg11@cam.ac.uk Inorganic semiconductor solar cells Current-Voltage characteristic for photovoltaic semiconductor electrodes light Must specify

More information

CMOS FABRICATION. n WELL PROCESS

CMOS FABRICATION. n WELL PROCESS CMOS FABRICATION n WELL PROCESS Step 1: Si Substrate Start with p- type substrate p substrate Step 2: Oxidation Exposing to high-purity oxygen and hydrogen at approx. 1000 o C in oxidation furnace SiO

More information

Lecture 8. Deposition of dielectrics and metal gate stacks (CVD, ALD)

Lecture 8. Deposition of dielectrics and metal gate stacks (CVD, ALD) Lecture 8 Deposition of dielectrics and metal gate stacks (CVD, ALD) Thin Film Deposition Requirements Many films, made of many different materials are deposited during a standard CMS process. Gate Electrodes

More information

OPTIMIZED SEMI-ADDITIVE PROCESS FOR POLYIMIDE AS DIELECTRIC IN BUILD UP PACKAGES

OPTIMIZED SEMI-ADDITIVE PROCESS FOR POLYIMIDE AS DIELECTRIC IN BUILD UP PACKAGES OPTIMIZED SEMI-ADDITIVE PROCESS FOR POLYIMIDE AS DIELECTRIC IN BUILD UP PACKAGES Fei Peng 1, Ernest Long 1, Jim Watkowski 1, Kesheng Feng 1, Naomi Ando 2, Kazuhiro Inazu 2 1 MacDermid, 227 Freight St,

More information

Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs

Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs Joohan Lee, Joseph J. Griffiths, and James Cordingley GSI Group Inc. 60 Fordham Rd. Wilmington, MA 01887 jlee@gsig.com

More information

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials Interconnects Outline Interconnect scaling issues Aluminum technology Copper technology 1 Properties of Interconnect Materials Metals Silicides Barriers Material Thin film Melting resistivity point ( C)

More information

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

Semiconductor Manufacturing Technology. IC Fabrication Process Overview Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 00 by Prentice Hall Chapter 9 IC Fabrication Process Overview /4 Objectives After studying the material in this chapter, you

More information

Microstructures using RF sputtered PSG film as a sacrificial layer in surface micromachining

Microstructures using RF sputtered PSG film as a sacrificial layer in surface micromachining Sādhanā Vol. 34, Part 4, August 2009, pp. 557 562. Printed in India Microstructures using RF sputtered PSG film as a sacrificial layer in surface micromachining VIVEKANAND BHATT 1,, SUDHIR CHANDRA 1 and

More information

Damage Threats and Response of Final Optics for Laser-Fusion Power Plants

Damage Threats and Response of Final Optics for Laser-Fusion Power Plants Damage Threats and Response of Final Optics for Laser-Fusion Power Plants M. S. Tillack 1, S. A. Payne 2, N. M. Ghoniem 3, M. R. Zaghloul 1 and J. F. Latkowski 2 1 UC San Diego, La Jolla, CA 92093-0417

More information

EEC 118 Lecture #5: MOS Fabrication. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

EEC 118 Lecture #5: MOS Fabrication. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation EEC 118 Lecture #5: MOS Fabrication Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation Announcements Lab 3 this week, report due next week HW 3 due this Friday at 4

More information

Section 4: Thermal Oxidation. Jaeger Chapter 3

Section 4: Thermal Oxidation. Jaeger Chapter 3 Section 4: Thermal Oxidation Jaeger Chapter 3 Properties of O Thermal O is amorphous. Weight Density =.0 gm/cm 3 Molecular Density =.3E molecules/cm 3 O Crystalline O [Quartz] =.65 gm/cm 3 (1) Excellent

More information

Radiation Tolerant Isolation Technology

Radiation Tolerant Isolation Technology Radiation Tolerant Isolation Technology Background The following contains a brief description of isolation technologies used for radiation hardened integrated circuits. The technologies mentioned are junction

More information

Characterization of Polycrystalline SiC Films Grown by HW-CVD using Silicon Tetrafluoride

Characterization of Polycrystalline SiC Films Grown by HW-CVD using Silicon Tetrafluoride Characterization of Polycrystalline SiC Films Grown by HW-CVD using Silicon Tetrafluoride Katsuya Abe, Yohei Nagasaka, Takahiro Kida, Tomohiko Yamakami, Rinpei Hayashibe and Kiichi Kamimura, Faculty of

More information

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high vacuum ~10-7 torr Removes residual gases eg oxygen from

More information

Structural changes of austenitic steel obtained by 532 nm and 1064 nm Nd:YAG laser radiation

Structural changes of austenitic steel obtained by 532 nm and 1064 nm Nd:YAG laser radiation JOURNAL OF OPTOELECTRONICS AND ADVANCED MATERIALS Vol. 8, No. 1, February 2006, p, 230-234 Structural changes of austenitic steel obtained by 532 nm and 1064 nm Nd:YAG laser radiation M. I. RUSU *, R.

More information

Available online at ScienceDirect. Materials Today: Proceedings 2 (2015 )

Available online at  ScienceDirect. Materials Today: Proceedings 2 (2015 ) Available online at www.sciencedirect.com ScienceDirect Materials Today: Proceedings 2 (2015 ) 5582 5586 International Conference on Solid State Physics 2013 (ICSSP 13) Thickness dependent optimization

More information

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS AND FABRICATION ENGINEERING ATTHE MICRO- NANOSCALE Fourth Edition STEPHEN A. CAMPBELL University of Minnesota New York Oxford OXFORD UNIVERSITY PRESS CONTENTS Preface xiii prrt i OVERVIEW AND MATERIALS

More information

The Mobility Enhancement of Indium Gallium Zinc Oxide Transistors via Low-temperature Crystallization using a Tantalum Catalytic Layer

The Mobility Enhancement of Indium Gallium Zinc Oxide Transistors via Low-temperature Crystallization using a Tantalum Catalytic Layer www.nature.com/scientificreports Received: 27 February 2017 Accepted: 24 August 2017 Published: xx xx xxxx OPEN The Mobility Enhancement of Indium Gallium Zinc Oxide Transistors via Low-temperature Crystallization

More information