Low Temperature Dielectric Deposition for Via-Reveal Passivation.

Size: px
Start display at page:

Download "Low Temperature Dielectric Deposition for Via-Reveal Passivation."

Transcription

1 EMPC 2013, September 9-12, Grenoble; France Low Temperature Dielectric Deposition for Via-Reveal Passivation. Kath Crook, Mark Carruthers, Daniel Archard, Steve Burgess, Keith Buchanan SPTS Technologies, Ringland Way, Newport, NP18 2TA UK Abstract This paper reports on the development of low temperature (<190 C) plasma-enhanced chemical vapour deposition (PECVD) processes used to deposit silicon oxide / silicon nitride film stacks for use as passivation layers over exposed through-silicon vias in thinned (<60µm), 300mm silicon wafers, temporarily bonded to silicon or glass carriers. The deposition processes are optimized to provide excellent electrical isolation with the films having low leakage currents and high breakdown voltages. The deposited stacks are also used to compensate for wafer bow resulting from CMOS front-side wafer processes and so provide a method of preventing excessive bow when the thinned silicon wafer is de-bonded from its carrier. Crucially, electrical properties and stack stress are shown to be stable with no drift over time when exposed to atmosphere. Key words: 3D-IC, advanced packaging, TSV, dielectric, PECVD, via-reveal, passivation Introduction Emerging 3D-IC packaging technologies, incorporating through-silicon vias (TSV) offer system designers improved functionality combined with reduced package form factors. TSV s are typically manufactured using the via-middle approach where the vias are blindly etched, lined and filled during CMOS wafer front side processing. This approach requires that the TSV s be revealed on the back side of the wafer by grind and plasma etch steps. Layers of SiN and SiO deposited by PECVD serve to passivate and mechanically support the exposed TSV prior to bump/rdl formation and then chip-to-wafer or wafer-to-wafer bonding. A typical via-reveal process flow is shown in figure 1. Before the via-reveal process steps occur, CMOS device wafers are temporarily bonded to silicon or glass carriers and thinned to around 50µm. The temporary bonding adhesive imposes an (adhesivespecific) upper temperature limit of ~190 C for subsequent process steps and there is a risk of premature delamination of the device wafer if this limit is exceeded. The temperature constraint is especially challenging for the PECVD passivation processes as it is challenging to produce films of sufficient quality and stability at such low temperatures. The PECVD passivation layers also serve to maintain bow of the thinned silicon at manageable levels; ideally less than 10mm to allow the wafers to be handled through subsequent process steps. Full thickness (~770µm) CMOS wafers will typically Figure 1. Typical via-reveal process flow. (a) Device wafer temporarily is bonded face-down to carrier and silicon is thinned. (b) RIE Si etch to expose TSV with liner oxide intact ( soft reveal ) (c) deposit PECVD SiN-SiO passivation at <190 C to preserve adhesive integrity (d) CMP to expose via tips, stopping in the SiO layer.

2 have wafer bows within the range µm. When these incoming wafers are thinned to ~50µm to allow for chip stacking, bow will increase to several centimetres, making wafer handling impossible and can also likely causing cracking after debond. The stress of the back side passivation stack can be tailored to compensate for the incoming wafer bow and so produce wafers with manageable flatness after debond. To achieve this, low temperature PECVD films having both compressive and tensile stresses must be available. For example, CMOS devices with multiple layers of Cu-low k interconnect will typically have a front side tensile stress and so via-reveal passivation stacks with net tensile stress are required to compensate. Engineering of high quality, low temperature, TEOS-based SiO with tensile stress is extremely challenging as these films are susceptible to water re-absorption on exposure to atmosphere [1,2]. In this paper, we report on PECVD passivation stack engineering for via-reveal. Optimized SiN-SiO stacks deposited at <190 C provide excellent electrical isolation with leakage current densities <1E-9 A.cm -2 and breakdown voltages >10 MV.cm -1. Electrical leakage and stack stress are shown to be stable over several days when exposed to atmosphere. Compressively stressed SiN barrier films are combined with tensile SiO and SiN over-layers to produce stacks optimized for reliability and bow compensation. Bow is modeled using the Stoney equation and simple geometry and calculated values are in good agreement with measured bow. Experimental Dielectric films were deposited on to 300mm Si wafers using an SPTS Delta fxp, single-wafer cluster system designed for high volume manufacturing and configured with multi-wafer degas (MWD) and PECVD chambers as shown in Figure 2. Figure 2. PECVD cluster system with Multi-Wafer Degas chamber and 5 PECVD chambers The MWD chamber uses infra-red lamps to heat batches of 300mm wafers, allowing for degas times of up to 30 minutes with minimal reduction of system throughput. Such an approach is beneficial for bonded substrates, as long degas times ensure that the bonding adhesive is sufficiently outgassed prior to dielectric stack deposition, and can also prevent de-bonding due to thermal shock. Insufficient degas can lead to out-gassing from the adhesive during PECVD film deposition, with consequent disruption of plasma stability and degradation of film properties. Figure 3 shows outgassing characteristics of five typical silicon-onglass substrates. The outgassing rate was measured in a PECVD chamber at base pressure (<20mTorr) and peak wafer temperature was <150 C. The outgassing rate peaks after ~100 seconds with a further 300 seconds required for the pressure to recover fully. Wafer-to-wafer repeatability is small, compared to the difference in outgassing rate between different adhesive types. Figure 3. Typical out-gassing characteristics of bonded substrates. SiN and SiO films were deposited in a parallel plate PECVD reactor. The wafers sit on a platen configured with resistive heating and air-cooling, the latter to prevent energy from the plasma from overheating the wafer and platen assembly during deposition, and also during plasma cleaning of the PECVD chamber. Peak wafer temperature is verified as being <190 C using thermally sensitive adhesive dots applied to the front sides of the substrates prior to deposition. This approach, unlike thermocouplebased temperature measurement techniques, gives a truer indication of peak wafer temperature as it includes film deposition. The thermally sensitive dots have a sensitivity of ±3 C within the temperature range 180 C to 200 C. SiN and SiO films were deposited sequentially in the same PECVD chamber, this approach being preferred for best system productivity. SiN was deposited using a silane-based, ammonia-free process chemistry with MHz RF power applied to the showerhead. Film stress is tunable from -400 MPa to MPa where a negative stress denotes a compression. The ammonia-free chemistry was chosen because it produces SiN films of superior quality at low

3 temperatures. Figure 4 shows etch rates of ammoniabased and ammonia-free SiN films in 10: buffered hydrofluoric acid [BHF] with thermally grown silicon dioxide etch rate also shown for reference. The SiN films were deposited at <190 C. confirmation that the cracking threshold had been exceeded. Wafer bow modeling The modified Stoney equation was used to calculate wafer radius of curvature of the bowed wafer from measured stress: σ f = E 2 s. t s 6R. t f σ f = film stress E s = substrate biaxial Young s modulus = GPa T s = substrate thickness T f = film thickness R = radius of curvature Figure 4. 10:1 buffered HF wet etch rates of ammonia-based and ammonia-free SiN films, relative to thermal silicon dioxide. The ammonia-free SiN films have a much reduced etch rate, resulting from lower hydrogen content and higher density. This gives the ammoniafree films superior diffusion barrier properties. SiO films were deposited using a TEOS-based chemistry, this being preferred because of its superior step coverage. SiO stress is tunable from MPa to +200 MPa. For this work, the SiO deposition process is optimized so that deposited films do not absorb water on exposure to atmosphere. SiO films deposited using TEOS at low temperature can be hygroscopic and the water absorption causes instability with leakage current and stress drifting upwards over time [1]. Average thickness and uniformity of individual SiO and SiN films, and also film stacks was measured using a 49-point ellipsometry measurement with 3mm edge exclusion. Stress was calculated using a laser-based bow measurement system. The electrical leakage current density and breakdown voltage of the dielectric films were measured at room temperature using a metalinsulator-semiconductor (MIS) structure with low resistivity silicon as the lower electrode and evaporated aluminium dots with ~1.5mm diameter as the upper electrodes. Leakage current was measured at 2MV.cm -1 electric field strength and breakdown voltage was measured on 150nm SiO and SiN films with voltage swept from 0V to 200V in 5V increments. Film thickness cracking threshold was determined by using a diamond tip to score the film at the centre and edge of a 300mm wafer. An optical microscope is then used to check for crack propagation over a period of ~24 hours. Observation of spontaneous crack propagation was seen as Calculated values or radius of curvature are then used to calculate bow of 300mm wafers using simple trigonometry, with bow b estimated using the equation: b = d2 8R where d is wafer diameter and R is radius of curvature. The bow model accuracy was tested by comparing predicted and measured bows for a number of SiN- SiO film stacks typical of those used for via-reveal passivation. SiN Table 1. Predicted vs measured film stress SiN Stress (MPa) TEOS TEOS Stress (MPa) Stack Wafer Stack Measured Bow Modeled Bow Table 1 shows that there is less than 5% difference between measured and modeled bow. Dielectric stack engineering Requirements of the films and processes used for via-reveal passivation can be summarized as follows: 1. Peak wafer temperature during deposition compatible with the bonding adhesive used typically <190 C. 2. Processes must be compatible with silicon, silicon-on-silicon and siliconon-glass substrates. 3. Complete out-gassing of the bonding adhesive prior to film deposition. 4. Stability no changes to leakage current or stress after deposition. 5. Highly uniform films to minimize total thickness variation [TTV] of the substrate.

4 6. Good sidewall coverage of the revealed TSV with no corner voiding 7. Leakage current densities <1E-9 A.cm Breakdown electric field >8MV.cm -1 for reliability. 9. Good diffusion barrier performance prevention of impurity diffusion to the active silicon 10. Good interfacial adhesion of the passivation stack to silicon and also within the stack itself, where large stress gradients may exist. 11. Tunable film stress to allow for bow compensation on thinned silicon. 12. cracking threshold compatible with required overall stack thickness, typically 2.5µm - 3.0µm. 13. Hardness and elastic modulus compatible with subsequent Chemical Mechanical Planarization (CMP) process. Compressively stressed silicon nitride films are generally acknowledged to give best diffusion barrier properties, these being widely used in CMOS multi-level metal interconnect schemes [3]. For the via-reveal passivation stack, a PECVD SiN process with peak wafer temperature <190 C and film stress of -100 MPa was used. Figure 5 shows cross-sectional SEM images showing the crack-stopping capability of low temperature SiN films with both tensile and compressive stress. A crack deliberately propagated in a thick, overlying SiO film stops at the SiO-SiN interface and does not continue through the SiN. deposited with a tensile stress and the two films have both advantages and disadvantages for viareveal process integration. TEOS-based silicon oxide films with tensile stress show excellent sidewall coverage and deposition rate compared to silicon nitride, but maximum thickness is limited by the cracking threshold and leakage current increases as the tensile stress increases [4]. Figure 6. Maximum film thickness vs stress for low temperature TEOS SiO. Figure 6 plots the maximum thickness before spontaneous cracking occurs (cracking threshold) vs film stress for low temperature TEOS SiO films. The cracking threshold is less than 2µm for film stress > 150MPa. Tensile silicon nitride films were also investigated as a means of increasing the cracking threshold and providing improved electrical properties compared to TEOS SiO and so thereby offering greater tensile stress compensation capability. A <190 C SiN film was developed with tensile stress of +200 MPa and cracking threshold >7µm. The cracking threshold was demonstrated for films deposited on to bare Si and also on to a compressive SiN barrier film. Figure 5. SEM images of a) 2.0µm SiO over 0.3µm tensile SiN; b) 2.0µm SiO over 0.2µm compressive SiN For wafers with an incoming front side compressive stress, a SiN barrier with thicker (2-3µm) TEOS over-layer, both compressively stressed, can be used to provide both stress compensation and good sidewall coverage of the revealed TSV. When the incoming front side stress is tensile, then given the constraint of a compressively stressed SiN barrier layer, it is necessary to deposit thick over-layers with tensile stress to produce a passivation stack with net tensile stress. SiN and SiO films can both be Figure 7. Low temperature SiN stress vs time exposed to atmosphere at room temperature. Figure 7 shows stress stability of 1.4µm low temperature SiN films with nominal 200 MPa tensile stress. After 100 hours of exposure to atmosphere at room temperature, the stress has shifted by less than 5%.

5 tensile stress from 8.0µm multi-level interconnect of 70 MPa. The calculated resultant bow of the unthinned wafer is 0.35mm. The bow increases to more than 80mm when the wafer is thinned to 50µm and before back-side SiN is deposited. Figure 8. Leakage current density vs electric field strength for SiN films with stresses -400 MPa, -100 MPa and +200 MPa. Figure 8 shows electrical performance of low temperature SiN films with stress ranging from -400 MPa to +200 MPa. Leakage at 2MV.cm -1 is comparable for all films. The cracking threshold, stress stability and electrical performance suggest that low temperature SiN films are more suitable than TEOS SiO films for via-reveal passivation stacks where a net tensile stress is required. Figure 9. X-SEM images showing: a) low temperature PECVD SiN [compressive + tensile] passivation over Si step and b) high magnification image of corner. Figure 9a shows cross-sectional SEM images of a via-reveal passivation stack deposited over a silicon pillar, demonstrating minimum step coverage of 65%. Figure 9b shows the SiN film to be voidfree in the lower corner of the structure important for reliability. The stacks consists of 0.21µm compressive SiN (-100 MPa) with 2.25µm tensile SiN (+200 MPa), these stress values having been measured on full thickness silicon wafers. Adhesion of the compressive SiN film to silicon, and of the tensile SiN film to the compressive SiN film is excellent. Figure 10 shows the output of wafer bow modeling for a SiN stack on 300mm Si containing a 0.2µm, compressively stressed (-100MPa) barrier layer, and a second, thicker SiN layer with tensile stress to provide bow compensation. Figure 10 plots net wafer bow against the thickness and stress of the second SiN layer. Model inputs assume a Si thickness before thinning of 775µm and a front-side Figure 10. Net wafer bow vs SiN thickness and stress for 300mm silicon wafer thinned to 50µm. Figure 10 show that 2-3µm SiN films with stress in the range MPa will reduce the bow on the thinned silicon to <10mm, this being sufficient to enable further wafer processing. The model accuracy was confirmed by bow measurements on 50µm silicon after debond. Conclusions Low temperature (<190 C) PECVD SiN and SiO films are shown to be well suited for use in viareveal passivation stacks. Low temperature TEOS SiO films are more suitable to applications where a compressive stress is required, due to cracking threshold thickness limitations. Stable SiN films can, however, be produced with a tensile stress and cracking threshold of >7µm. These films, in combination with compressive SiN barrier layers, are effective in reducing net wafer bow to manageable levels on 300mm silicon wafers thinned to 50µm. References 1. K. Buchanan et al, Etch, dielectrics and metal barrier-seed for low temperature through-silicon via processing, in Proc. IEEE International Conference 3D Systems Integration (3DIC), San Francisco, CA, Sep 28 30, G. C. Schwartz, K. V. Srikrishnan [Eds], Handbook of Semiconductor Interconnection Technology, CRC Press, New York, 2006, pp R. A. Levy, Microelectronic Materials and Processes, Springer, 1989, p Crook et al, Dielectric Stack Engineering for Via-Reveal Passivation, in Proc. IEEE Electronic Components and Technology Conference, Las Vegas, NV, USA, May 2013

Microstructures using RF sputtered PSG film as a sacrificial layer in surface micromachining

Microstructures using RF sputtered PSG film as a sacrificial layer in surface micromachining Sādhanā Vol. 34, Part 4, August 2009, pp. 557 562. Printed in India Microstructures using RF sputtered PSG film as a sacrificial layer in surface micromachining VIVEKANAND BHATT 1,, SUDHIR CHANDRA 1 and

More information

Method to obtain TEOS PECVD Silicon Oxide Thick Layers for Optoelectronics devices Application

Method to obtain TEOS PECVD Silicon Oxide Thick Layers for Optoelectronics devices Application Method to obtain TEOS PECVD Silicon Oxide Thick Layers for Optoelectronics devices Application ABSTRACT D. A. P. Bulla and N. I. Morimoto Laboratório de Sistemas Integráveis da EPUSP São Paulo - S.P. -

More information

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA Overview of CMP for TSV Applications Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA Outline TSV s and the Role of CMP TSV Pattern and Fill TSV Reveal (non-selective)

More information

Molding materials performances experimental study for the 3D interposer scheme

Molding materials performances experimental study for the 3D interposer scheme Minapad 2014, May 21 22th, Grenoble; France Molding materials performances experimental study for the 3D interposer scheme Y. Sinquin, A. Garnier, M. Argoud, A. Jouve, L. Baud, J. Dechamp, N. Allouti,

More information

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation Micro-Electro-Mechanical Systems (MEMS) Fabrication Fabrication Considerations Stress-Strain, Thin-film Stress, Stiction Special Process Modules for MEMS Bonding, Cavity Sealing, Deep RIE, Spatial forming

More information

200mm Next Generation MEMS Technology update. Florent Ducrot

200mm Next Generation MEMS Technology update. Florent Ducrot 200mm Next Generation MEMS Technology update Florent Ducrot The Most Exciting Industries on Earth Semiconductor Display Solar 20,000,000x reduction in COST PER TRANSISTOR in 30 years 1 20x reduction in

More information

TSV Interposer Process Flow with IME 300mm Facilities

TSV Interposer Process Flow with IME 300mm Facilities TSV Interposer Process Flow with IME 300mm Facilities Property of Institute of Microelectronics (IME)-Singapore August 17, 2012 Outline 1. TSV interposer (TSI) cross sectional schematic TSI with BEOL,

More information

Ultra High Barrier Coatings by PECVD

Ultra High Barrier Coatings by PECVD Society of Vacuum Coaters 2014 Technical Conference Presentation Ultra High Barrier Coatings by PECVD John Madocks & Phong Ngo, General Plasma Inc., 546 E. 25 th Street, Tucson, Arizona, USA Abstract Silicon

More information

Thin Wafers Bonding & Processing

Thin Wafers Bonding & Processing Thin Wafers Bonding & Processing A market perspective 2012 Why New Handling Technologies Consumer electronics is today a big driver for smaller, higher performing & lower cost device configurations. These

More information

BONDING OF MULTIPLE WAFERS FOR HIGH THROUGHPUT LED PRODUCTION. S. Sood and A. Wong

BONDING OF MULTIPLE WAFERS FOR HIGH THROUGHPUT LED PRODUCTION. S. Sood and A. Wong 10.1149/1.2982882 The Electrochemical Society BONDING OF MULTIPLE WAFERS FOR HIGH THROUGHPUT LED PRODUCTION S. Sood and A. Wong Wafer Bonder Division, SUSS MicroTec Inc., 228 SUSS Drive, Waterbury Center,

More information

Semiconductor Technology

Semiconductor Technology Semiconductor Technology from A to Z Oxidation www.halbleiter.org Contents Contents List of Figures List of Tables II III 1 Oxidation 1 1.1 Overview..................................... 1 1.1.1 Application...............................

More information

Thermo-Mechanical Reliability of Through-Silicon Vias (TSVs)

Thermo-Mechanical Reliability of Through-Silicon Vias (TSVs) 1 Thermo-Mechanical Reliability of Through-Silicon Vias (TSVs) Xi Liu Ph.D. Student and Suresh K. Sitaraman, Ph.D. Professor The George W. Woodruff School of Mechanical Engineering Georgia Institute of

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley Nonplanar Metallization Planar Metallization Passivation Metal 5 (copper) Metal 3 (copper) Interlevel dielectric (ILD) Via (tungsten) Metal 1 (copper) Tungsten Plug to Si Silicon Caps and Plugs oxide oxide

More information

5.8 Diaphragm Uniaxial Optical Accelerometer

5.8 Diaphragm Uniaxial Optical Accelerometer 5.8 Diaphragm Uniaxial Optical Accelerometer Optical accelerometers are based on the BESOI (Bond and Etch back Silicon On Insulator) wafers, supplied by Shin-Etsu with (100) orientation, 4 diameter and

More information

3D technologies for integration of MEMS

3D technologies for integration of MEMS 3D technologies for integration of MEMS, Fraunhofer Institute for Electronic Nano Systems Folie 1 Outlook Introduction 3D Processes Process integration Characterization Sample Applications Conclusion Folie

More information

CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node

CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node UMC/ ATD_AM / CMP Department T. C. Tsai, W. C. Tsao, Welch Lin, C. L. Hsu, C. L. Lin, C. M. Hsu, J. F. Lin, C. C.

More information

Procese de depunere in sistemul Plasma Enhanced Chemical Vapor Deposition (PECVD)

Procese de depunere in sistemul Plasma Enhanced Chemical Vapor Deposition (PECVD) Procese de depunere in sistemul Plasma Enhanced Chemical Vapor Deposition (PECVD) Ciprian Iliescu Conţinutul acestui material nu reprezintă in mod obligatoriu poziţia oficială a Uniunii Europene sau a

More information

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon Chapter 5 Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon 5.1 Introduction In this chapter, we discuss a method of metallic bonding between two deposited silver layers. A diffusion

More information

Thin. Smooth. Diamond.

Thin. Smooth. Diamond. UNCD Wafers Thin. Smooth. Diamond. UNCD Wafers - A Family of Diamond Material UNCD is Advanced Diamond Technologies (ADT) brand name for a family of thin fi lm diamond products. UNCD Aqua The Aqua series

More information

EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES

EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES G. Fortunato, A. Pecora, L. Maiolo, M. Cuscunà, D. Simeone, A. Minotti, and L. Mariucci CNR-IMM,

More information

Chapter 2 MOS Fabrication Technology

Chapter 2 MOS Fabrication Technology Chapter 2 MOS Fabrication Technology Abstract This chapter is concerned with the fabrication of metal oxide semiconductor (MOS) technology. Various processes such as wafer fabrication, oxidation, mask

More information

Hot Chips: Stacking Tutorial

Hot Chips: Stacking Tutorial Hot Chips: Stacking Tutorial Choon Lee Technology HQ, Amkor Enabling a Microelectronic World Mobile Phone Technology Change Feature Phone Smartphone Smartphones as a Percentage of All Phones Source : The

More information

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook:

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook: HOMEWORK 4 and 5 March 15, 2009 Homework is due on Monday March 30, 2009 in Class. Chapter 7 Answer the following questions from the Course Textbook: 7.2, 7.3, 7.4, 7.5, 7.6*, 7.7, 7.9*, 7.10*, 7.16, 7.17*,

More information

II. A. Basic Concept of Package.

II. A. Basic Concept of Package. Wafer Level Package for Image Sensor Module Won Kyu Jeung, Chang Hyun Lim, Jingli Yuan, Seung Wook Park Samsung Electro-Mechanics Co., LTD 314, Maetan3-Dong, Yeongtong-Gu, Suwon, Gyunggi-Do, Korea 440-743

More information

The ABC s of CMP for DWB and SOI. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010

The ABC s of CMP for DWB and SOI. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010 The ABC s of CMP for DWB and SOI Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010 Outline Introduction Direct Wafer Bonding (DWB) Background CMP for DWB Silicon-On-Insulator (SOI) Background

More information

Etching Etching Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference

Etching Etching Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference Etching Etching Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between 2 materials Need strong selectivity from masking

More information

Development of different copper seed layers with respect to the copper electroplating process

Development of different copper seed layers with respect to the copper electroplating process Microelectronic Engineering 50 (2000) 433 440 www.elsevier.nl/ locate/ mee Development of different copper seed layers with respect to the copper electroplating process a, a a b b b K. Weiss *, S. Riedel,

More information

UTILIZATION OF ATMOSPHERIC PLASMA SURFACE PREPARATION TO IMPROVE COPPER PLATING PROCESSES.

UTILIZATION OF ATMOSPHERIC PLASMA SURFACE PREPARATION TO IMPROVE COPPER PLATING PROCESSES. SESSION 14 MATERIALS AND PROCESSES FOR ADVANCED PACKAGING UTILIZATION OF ATMOSPHERIC PLASMA SURFACE PREPARATION TO IMPROVE COPPER PLATING PROCESSES. Eric Schulte 1, Gilbert Lecarpentier 2 SETNA Corporation

More information

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Metallization deposition and etching. Material mainly taken from Campbell, UCCS Metallization deposition and etching Material mainly taken from Campbell, UCCS Application Metallization is back-end processing Metals used are aluminum and copper Mainly involves deposition and etching,

More information

Device Fabrication: CVD and Dielectric Thin Film

Device Fabrication: CVD and Dielectric Thin Film Device Fabrication: CVD and Dielectric Thin Film 1 Objectives Identify at least four CVD applications Describe CVD process sequence List the two deposition regimes and describe their relation to temperature

More information

State of the art quality of a GeOx interfacial passivation layer formed on Ge(001)

State of the art quality of a GeOx interfacial passivation layer formed on Ge(001) APPLICATION NOTE State of the art quality of a Ox interfacial passivation layer formed on (001) Summary A number of research efforts have been made to realize Metal-Oxide-Semiconductor Field Effect Transistors

More information

3DIC Integration with TSV Current Progress and Future Outlook

3DIC Integration with TSV Current Progress and Future Outlook 3DIC Integration with TSV Current Progress and Future Outlook Shan Gao, Dim-Lee Kwong Institute of Microelectronics, A*STAR (Agency for Science, Technology and Research) Singapore 9 September, 2010 1 Overview

More information

curamik CERAMIC SUBSTRATES AMB technology Design Rules Version #04 (09/2015)

curamik CERAMIC SUBSTRATES AMB technology Design Rules Version #04 (09/2015) curamik CERAMIC SUBSTRATES AMB technology Design Rules Version #04 (09/2015) Content 1. Geometric properties 1.01. Available ceramic types / thicknesses... 03 1.02. thicknesses (standard)... 03 3. Quality

More information

Challenges and Future Directions of Laser Fuse Processing in Memory Repair

Challenges and Future Directions of Laser Fuse Processing in Memory Repair Challenges and Future Directions of Laser Fuse Processing in Memory Repair Bo Gu, * T. Coughlin, B. Maxwell, J. Griffiths, J. Lee, J. Cordingley, S. Johnson, E. Karagiannis, J. Ehrmann GSI Lumonics, Inc.

More information

Lecture 22: Integrated circuit fabrication

Lecture 22: Integrated circuit fabrication Lecture 22: Integrated circuit fabrication Contents 1 Introduction 1 2 Layering 4 3 Patterning 7 4 Doping 8 4.1 Thermal diffusion......................... 10 4.2 Ion implantation.........................

More information

VLSI Digital Systems Design

VLSI Digital Systems Design VLSI Digital Systems Design CMOS Processing cmpe222_03process_ppt.ppt 1 Si Purification Chemical purification of Si Zone refined Induction furnace Si ingot melted in localized zone Molten zone moved from

More information

Dow Corning WL-5150 Photodefinable Spin-On Silicone

Dow Corning WL-5150 Photodefinable Spin-On Silicone Dow Corning WL-515 Photodefinable Spin-On Silicone Properties and Processing Procedures Introduction Dow Corning WL-515 is a silicone formulation which can be photopatterned and cured using standard microelectronics

More information

Characterization of Extreme Si Thinning Process for Wafer-to-Wafer Stacking

Characterization of Extreme Si Thinning Process for Wafer-to-Wafer Stacking 2016 IEEE 66th Electronic Components and Technology Conference Characterization of Extreme Si Thinning Process for Wafer-to-Wafer Stacking Fumihiro Inoue 1, Anne Jourdain 1, Joeri De Vos 1, Erik Sleeckx

More information

Avatrel Stress Buffer Coatings: Low Stress Passivation and Redistribution Applications

Avatrel Stress Buffer Coatings: Low Stress Passivation and Redistribution Applications Avatrel Stress Buffer Coatings: Low Stress Passivation and Redistribution Applications Ed Elce, Chris Apanius, Jeff Krotine, Jim Sperk, Andrew Bell, Rob Shick* Sue Bidstrup-Allen, Paul Kohl Takashi Hirano,

More information

Proceedings Post Fabrication Processing of Foundry MEMS Structures Exhibiting Large, Out-of-Plane Deflections

Proceedings Post Fabrication Processing of Foundry MEMS Structures Exhibiting Large, Out-of-Plane Deflections Proceedings Post Fabrication Processing of Foundry MEMS Structures Exhibiting Large, Out-of-Plane Deflections LaVern Starman 1, *, John Walton 1, Harris Hall 1 and Robert Lake 2 1 Sensors Directorate,

More information

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials Interconnects Outline Interconnect scaling issues Aluminum technology Copper technology 1 Properties of Interconnect Materials Metals Silicides Barriers Material Thin film Melting resistivity point ( C)

More information

Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs

Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs Joohan Lee, Joseph J. Griffiths, and James Cordingley GSI Group Inc. 60 Fordham Rd. Wilmington, MA 01887 jlee@gsig.com

More information

Morphology of Thin Aluminum Film Grown by DC Magnetron Sputtering onto SiO 2 on Si(100) Substrate

Morphology of Thin Aluminum Film Grown by DC Magnetron Sputtering onto SiO 2 on Si(100) Substrate Morphology of Thin Aluminum Film Grown by DC Magnetron Sputtering onto SiO 2 on Si(1) Substrate Fan Wu Microelectronics Center, Medtronic Inc., Tempe, AZ 85261 James E. Morris Department of Electrical

More information

We are IntechOpen, the first native scientific publisher of Open Access books. International authors and editors. Our authors are among the TOP 1%

We are IntechOpen, the first native scientific publisher of Open Access books. International authors and editors. Our authors are among the TOP 1% We are IntechOpen, the first native scientific publisher of Open Access books 3,350 108,000 1.7 M Open access books available International authors and editors Downloads Our authors are among the 151 Countries

More information

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high vacuum ~10-7 torr Removes residual gases eg oxygen from

More information

Plasma-Enhanced Chemical Vapor Deposition

Plasma-Enhanced Chemical Vapor Deposition Plasma-Enhanced Chemical Vapor Deposition Steven Glenn July 8, 2009 Thin Films Lab 4 ABSTRACT The objective of this lab was to explore lab and the Applied Materials P5000 from a different point of view.

More information

Through Silicon Vias Annealing: A thermo-mechanical assessment

Through Silicon Vias Annealing: A thermo-mechanical assessment Dresden University of Technology / Through Silicon Vias Annealing: P. Saettler (1), K. J. Wolter (1), M. Hecker (2), M. Boettcher (3) and C. Rudolph (3) (1) Technische Universität Dresden, (2) Globalfoundries

More information

Novel Materials and Activities for Next Generation Package. Hitachi Chemical., Co.Ltd. Packaging Solution Center Hiroaki Miyajima

Novel Materials and Activities for Next Generation Package. Hitachi Chemical., Co.Ltd. Packaging Solution Center Hiroaki Miyajima Novel Materials and Activities for Next Generation Package Hitachi Chemical., Co.Ltd. Packaging Solution Center Hiroaki Miyajima 1. Activities of Packaging Solution Center 2. Novel Materials for Next Gen.

More information

There are basically two approaches for bulk micromachining of. silicon, wet and dry. Wet bulk micromachining is usually carried out

There are basically two approaches for bulk micromachining of. silicon, wet and dry. Wet bulk micromachining is usually carried out 57 Chapter 3 Fabrication of Accelerometer 3.1 Introduction There are basically two approaches for bulk micromachining of silicon, wet and dry. Wet bulk micromachining is usually carried out using anisotropic

More information

Low temperature deposition of thin passivation layers by plasma ALD

Low temperature deposition of thin passivation layers by plasma ALD 1 Low temperature deposition of thin passivation layers by plasma ALD Bernd Gruska, SENTECH Instruments GmbH, Germany 1. SENTECH in brief 2. Low temperature deposition processes 3. SENTECH SI ALD LL System

More information

Visit

Visit Practical Applications for Nano- Electronics by Vimal Gopee E-mail: Vimal.gopee@npl.co.uk 10/10/12 Your Delegate Webinar Control Panel Open and close your panel Full screen view Raise hand for Q&A at the

More information

EV Group 300mm Wafer Bonding Technology July 16, 2008

EV Group 300mm Wafer Bonding Technology July 16, 2008 EV Group 300mm Wafer Bonding Technology July 16, 2008 EV Group in a Nutshell st Our philosophy Our mission in serving next generation application in semiconductor technology Equipment supplier for the

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time Etching Dry etch (anisotropic) SiO

More information

Materials Characterization

Materials Characterization Materials Characterization C. R. Abernathy, B. Gila, K. Jones Cathodoluminescence (CL) system FEI Nova NanoSEM (FEG source) with: EDAX Apollo silicon drift detector (TE cooled) Gatan MonoCL3+ FEI SEM arrived

More information

Etching Mask Properties of Diamond-Like Carbon Films

Etching Mask Properties of Diamond-Like Carbon Films N. New Nawachi Diamond et al. and Frontier Carbon Technology 13 Vol. 15, No. 1 2005 MYU Tokyo NDFCT 470 Etching Mask Properties of Diamond-Like Carbon Films Norio Nawachi *, Akira Yamamoto, Takahiro Tsutsumoto

More information

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications Journal of ELECTRONIC MATERIALS, Vol. 31, No. 5, 2002 Special Issue Paper Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems

More information

Hitachi A 64Mbit (8Mb x 8) Dynamic RAM

Hitachi A 64Mbit (8Mb x 8) Dynamic RAM Construction Analysis Hitachi 5165805A 64Mbit (8Mb x 8) Dynamic RAM Report Number: SCA 9712-565 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone:

More information

Semiconductor Device Fabrication

Semiconductor Device Fabrication 5 May 2003 Review Homework 6 Semiconductor Device Fabrication William Shockley, 1945 The network before the internet Bell Labs established a group to develop a semiconductor replacement for the vacuum

More information

COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING

COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING Lynne Michaelson 1, Anh Viet Nguyen 2, Krystal Munoz 1, Jonathan C. Wang

More information

micro resist technology

micro resist technology Characteristics Processing guidelines Negative Tone Photoresist Series ma-n 1400 ma-n 1400 is a negative tone photoresist series designed for the use in microelectronics and microsystems. The resists are

More information

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in Chapter 5 PROCESSING OF DEVICES A discussion of crystal growth, lithography, etching, doping, and device structures is presented in the following overview gures. SEMICONDUCTOR DEVICE PROCESSING: AN OVERVIEW

More information

AML. AML- Technical Benefits. 4 Sept Wafer Bonding Machines & Services MEMS, IC, III-Vs.

AML. AML- Technical Benefits. 4 Sept Wafer Bonding Machines & Services  MEMS, IC, III-Vs. AML AML- Technical Benefits 4 Sept 2012 www.aml.co.uk AML In-situ Aligner Wafer Bonders Wafer bonding capabilities:- Anodic Bonding Si-Glass Direct Bonding e.g. Si-Si Glass Frit Bonding Eutectic Bonding

More information

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

Semiconductor Manufacturing Technology. IC Fabrication Process Overview Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 00 by Prentice Hall Chapter 9 IC Fabrication Process Overview /4 Objectives After studying the material in this chapter, you

More information

Mater. Res. Soc. Symp. Proc. Vol Materials Research Society. Constraint Effects on Cohesive Failures in Low-k Dielectric Thin Films

Mater. Res. Soc. Symp. Proc. Vol Materials Research Society. Constraint Effects on Cohesive Failures in Low-k Dielectric Thin Films Mater. Res. Soc. Symp. Proc. Vol. 863 2005 Materials Research Society B4.. Constraint Effects on Cohesive Failures in Low-k Dielectric Thin Films Ting Y. Tsui, Andrew J. McKerrow, and Joost J. Vlassak

More information

Isolation Technology. Dr. Lynn Fuller

Isolation Technology. Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Isolation Technology Dr. Lynn Fuller Motorola Professor 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Fax (585) 475-5041

More information

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation. Figure 2.1 (p. 58) Basic fabrication steps in the silicon planar process: (a) oxide formation, (b) selective oxide removal, (c) deposition of dopant atoms on wafer, (d) diffusion of dopant atoms into exposed

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2011

EE C245 ME C218 Introduction to MEMS Design Fall 2011 Lecture Outline EE C245 ME C218 Introduction to MEMS Design Fall 2011 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720

More information

Poly-SiGe MEMS actuators for adaptive optics

Poly-SiGe MEMS actuators for adaptive optics Poly-SiGe MEMS actuators for adaptive optics Blake C.-Y. Lin a,b, Tsu-Jae King a, and Richard S. Muller a,b a Department of Electrical Engineering and Computer Sciences, b Berkeley Sensor and Actuator

More information

By Ron Blankenhorn, Pac Tech USA, Santa Clara, Calif., and Thomas Oppert, Pac Tech GbmH, Nauen, Germany

By Ron Blankenhorn, Pac Tech USA, Santa Clara, Calif., and Thomas Oppert, Pac Tech GbmH, Nauen, Germany INTRODUCTION Modern microelectronic products require packages that address the driving forces of reduced size and weight, as well as increased performance at high frequencies. Flipchip and direct chip

More information

Modeling of Local Oxidation Processes

Modeling of Local Oxidation Processes Introduction Isolation Processes in the VLSI Technology Main Aspects of LOCOS simulation Athena Oxidation Models Several Examples of LOCOS structures Calibration of LOCOS effects using VWF Field Oxide

More information

BOROFLOAT & Glass Wafers: A Union of Inspiration & Quality

BOROFLOAT & Glass Wafers: A Union of Inspiration & Quality Home Tech SCHOTT North America, Inc. 553 Shepherdsville Road Louisville, KY 4228 USA Phone: +1 (52) 657-4417 Fax: +1 (52) 966-4976 Email: borofloat@us.schott.com www.us.schott.com/borofloat/wafer BOROFLOAT

More information

RIE lag in diffractive optical element etching

RIE lag in diffractive optical element etching Microelectronic Engineering 54 (2000) 315 322 www.elsevier.nl/ locate/ mee RIE lag in diffractive optical element etching Jyh-Hua Ting *, Jung-Chieh Su, Shyang Su a, b a,c a National Nano Device Laboratories,

More information

Packaging Effect on Reliability for Cu/Low k Damascene Structures*

Packaging Effect on Reliability for Cu/Low k Damascene Structures* Packaging Effect on Reliability for Cu/Low k Damascene Structures* Guotao Wang and Paul S. Ho Laboratory of Interconnect & Packaging, TX 78712 * Work supported by SRC through the CAIST Program TRC 2003

More information

New Materials as an enabler for Advanced Chip Manufacturing

New Materials as an enabler for Advanced Chip Manufacturing New Materials as an enabler for Advanced Chip Manufacturing Drive Innovation, Deliver Excellence ASM International Analyst and Investor Technology Seminar Semicon West July 10 2013 Outline New Materials:

More information

Developments in low-temperature metal-based packaging

Developments in low-temperature metal-based packaging Developments in low-temperature metal-based packaging 2011. 12.14 Jiyoung Chang and Liwei Lin Ph.D. Candidate, Department of Mechanical Engineering University of California at Berkeley 1 1 Contents Project

More information

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material on any substrate (in principal) Start with pumping down

More information

Fabrication Techniques for Thin-Film Silicon Layer Transfer

Fabrication Techniques for Thin-Film Silicon Layer Transfer Fabrication Techniques for Thin-Film Silicon Layer Transfer S. L. Holl a, C. A. Colinge b, S. Song b, R. Varasala b, K. Hobart c, F. Kub c a Department of Mechanical Engineering, b Department of Electrical

More information

EE 434 Lecture 9. IC Fabrication Technology

EE 434 Lecture 9. IC Fabrication Technology EE 434 Lecture 9 IC Fabrication Technology Quiz 7 The layout of a film resistor with electrodes A and B is shown. If the sheet resistance of the film is 40 /, determine the resistance between nodes A and

More information

Hybrid III-V/Si DFB laser integration on a 200 mm fully CMOS-compatible silicon photonics platform

Hybrid III-V/Si DFB laser integration on a 200 mm fully CMOS-compatible silicon photonics platform Hybrid III-V/Si DFB laser integration on a 200 mm fully CMOS-compatible silicon photonics platform B. Szelag 1, K. Hassan 1, L. Adelmini 1, E. Ghegin 1,2, Ph. Rodriguez 1, S. Bensalem 1, F. Nemouchi 1,

More information

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing ELEC 3908, Physical Electronics, Lecture 4 Basic Integrated Circuit Processing Lecture Outline Details of the physical structure of devices will be very important in developing models for electrical behavior

More information

Lecture Day 2 Deposition

Lecture Day 2 Deposition Deposition Lecture Day 2 Deposition PVD - Physical Vapor Deposition E-beam Evaporation Thermal Evaporation (wire feed vs boat) Sputtering CVD - Chemical Vapor Deposition PECVD LPCVD MVD ALD MBE Plating

More information

"Plasma CVD passivation; Key to high efficiency silicon solar cells",

Plasma CVD passivation; Key to high efficiency silicon solar cells, "Plasma CVD passivation; Key to high efficiency silicon solar cells", David Tanner Date: May 7, 2015 2012 GTAT Corporation. All rights reserved. Summary: Remarkable efficiency improvements of silicon solar

More information

Materials Characterization for Stress Management

Materials Characterization for Stress Management Materials Characterization for Stress Management Ehrenfried Zschech, Fraunhofer IZFP Dresden, Germany Workshop on Stress Management for 3D ICs using TSVs San Francisco/CA, July 13, 2010 Outline Stress

More information

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development TSV Processing and Wafer Stacking Kathy Cook and Maggie Zoberbier, 3D Business Development Outline Why 3D Integration? TSV Process Variations Lithography Process Results Stacking Technology Wafer Bonding

More information

Supporting Information

Supporting Information Supporting Information Fast-Response, Sensitivitive and Low-Powered Chemosensors by Fusing Nanostructured Porous Thin Film and IDEs-Microheater Chip Zhengfei Dai,, Lei Xu,#,, Guotao Duan *,, Tie Li *,,

More information

Impurity free vacancy disordering of InGaAs quantum dots

Impurity free vacancy disordering of InGaAs quantum dots JOURNAL OF APPLIED PHYSICS VOLUME 96, NUMBER 12 15 DECEMBER 2004 Impurity free vacancy disordering of InGaAs quantum dots P. Lever, H. H. Tan, and C. Jagadish Department of Electronic Materials Engineering,

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

Fraunhofer ENAS Current results and future approaches in Wafer-level-packaging FRANK ROSCHER

Fraunhofer ENAS Current results and future approaches in Wafer-level-packaging FRANK ROSCHER Fraunhofer ENAS - Current results and future approaches in Wafer-level-packaging FRANK ROSCHER Fraunhofer ENAS Chemnitz System Packaging Page 1 System Packaging Outline: Wafer level packaging for MEMS

More information

Intel Pentium Processor W/MMX

Intel Pentium Processor W/MMX Construction Analysis Intel Pentium Processor W/MMX Report Number: SCA 9706-540 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax:

More information

Atomic Layer Deposition(ALD)

Atomic Layer Deposition(ALD) Atomic Layer Deposition(ALD) AlO x for diffusion barriers OLED displays http://en.wikipedia.org/wiki/atomic_layer_deposition#/media/file:ald_schematics.jpg Lam s market-leading ALTUS systems combine CVD

More information

Enabling Technology in Thin Wafer Dicing

Enabling Technology in Thin Wafer Dicing Enabling Technology in Thin Wafer Dicing Jeroen van Borkulo, Rogier Evertsen, Rene Hendriks, ALSI, platinawerf 2G, 6641TL Beuningen Netherlands Abstract Driven by IC packaging and performance requirements,

More information

Reliability Challenges for 3D Interconnects:

Reliability Challenges for 3D Interconnects: Reliability Challenges for 3D Interconnects: A material and design perspective Paul S. Ho Suk-Kyu Ryu, Kuan H. (Gary) Lu, Qiu Zhao, Jay Im and Rui Huang The University of Texas at Austin 3D Sematech Workshop,

More information

Analog Devices ADSP KS-160 SHARC Digital Signal Processor

Analog Devices ADSP KS-160 SHARC Digital Signal Processor Construction Analysis Analog Devices ADSP-21062-KS-160 SHARC Digital Signal Processor Report Number: SCA 9712-575 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale,

More information

Visualization and Control of Particulate Contamination Phenomena in a Plasma Enhanced CVD Reactor

Visualization and Control of Particulate Contamination Phenomena in a Plasma Enhanced CVD Reactor Visualization and Control of Particulate Contamination Phenomena in a Plasma Enhanced CVD Reactor Manabu Shimada, 1 Kikuo Okuyama, 1 Yutaka Hayashi, 1 Heru Setyawan, 2 and Nobuki Kashihara 2 1 Department

More information

Chapter 5 Epitaxial Growth of Si 1-y C y Alloys

Chapter 5 Epitaxial Growth of Si 1-y C y Alloys Chapter 5 Epitaxial Growth of Si 1-y C y Alloys 5.1 Introduction Traditionally, the incorporation of substitutional carbon into silicon and silicongermanium alloys during growth is of great interest for

More information

Challenges for Embedded Device Technologies for Package Level Integration

Challenges for Embedded Device Technologies for Package Level Integration Challenges for Embedded Device Technologies for Package Level Integration Kevin Cannon, Steve Riches Tribus-D Ltd Guangbin Dou, Andrew Holmes Imperial College London Embedded Die Technology IMAPS-UK/NMI

More information

Surface Micromachining

Surface Micromachining Surface Micromachining Outline Introduction Material often used in surface micromachining Material selection criteria in surface micromachining Case study: Fabrication of electrostatic motor Major issues

More information

High Rate Deposition of Reactive Oxide Coatings by New Plasma Enhanced Chemical Vapor Deposition Source Technology

High Rate Deposition of Reactive Oxide Coatings by New Plasma Enhanced Chemical Vapor Deposition Source Technology General Plasma, Inc. 546 East 25th Street Tucson, Arizona 85713 tel. 520-882-5100 fax. 520-882-5165 High Rate Deposition of Reactive Oxide Coatings by New Plasma Enhanced Chemical Vapor Deposition Source

More information

TSV Formation: Drilling and Filling

TSV Formation: Drilling and Filling 3D Architectures for Semiconductor Integration and Packaging (3D ASIP), Burlingame, CA, Dec. 10-12, 2014 Preconference symposium- 3D Integration: 3D Process Technology TSV Formation: Drilling and Filling

More information