More on VLSI Fabrication Technologies. Emanuele Baravelli

Size: px
Start display at page:

Download "More on VLSI Fabrication Technologies. Emanuele Baravelli"

Transcription

1 More on VLSI Fabrication Technologies Emanuele Baravelli

2 Some more details on: 1. VLSI meaning 2. p-si epitaxial layer 3. Lithography 4. Metallization 5. Process timings

3 What does VLSI mean, by the way? Technology era Date Complexity (# of logic blocks/chip) Single transistor 1959 <1 Unit logic (1 gate) Multi-function Complex function Medium Scale Integration (MSI) Large Scale Integration (LSI) Very Large Scale Integration (VLSI) Ultra Large Scale Integration (ULSI)

4 p-si epitaxial layer The p+ doping of the substrate reduces the susceptibility to some parasitic phenomena (e.g. Latchup) Furthermore, the p-epitaxial layer provides improved quality and fewer defects P+ substrate thickness: < 1 mm P-epi layer thickness: 5 15 µm p-epitaxial layer Diameter = 75 to 230mm P+ -type wafer < 1mm

5 Lithography (I) Mask size and wavelengths The desired pattern is projected onto the wafer in either a machine called a stepper or scanner. The stepper/scanner functions similarly to a slide projector. Light from a mercury arc lamp or a particular kind of laser is focused through a complex system of lenses onto a "mask" (also called a reticle) containing the desired image. The light passes through the mask and is then focused to produce the desired image on the wafer through a reduction lens system. The reduction of the system can vary depending on design, but is typically on the order of 4X-5X in magnitude. The ability to project a clear image of a very small feature onto the wafer is limited by the wavelength of the light that is used and the ability of the reduction lens system to capture enough diffraction orders off of the illuminated mask. Current state-of-the-art photolithography tools use Deep Ultraviolet (DUV) light with wavelengths of 248 and 193 nm, which allow minimum feature sizes on the order of nm. Also in development are tools that will use 157 nm wavelength in a manner similar to current exposure systems. In addition, Extreme Ultraviolet (EUV) radiation lithography systems are currently under development which will use 13 nm wavelengths, approaching the regime of x-rays.

6 Lithography (II) Lithography VS Scaling:

7 Lithography (III) More lithography tricks Sharp features (e.g. corners) are lost because diffraction attenuates & distorts higher spatial frequencies (low-pass optical filtering) Compensate for diffraction effects for features much smaller than exposure λ manage sub-λ constructive & destructive interference Software complexity during mask fabrication Optical proximity correction (OPC) Add scattering features to sharpen corners Phase Shift Masking (PSM) Modulate optical path through mask

8 Lithography (IV) Stepper The reticle must be stepped across the wafer and exposures made at many different positions in order to replicate the pattern across the entire wafer Typical throughput: 20 wph (= wafers per hour)

9 Metallization: 2 techniques 1. Chemical Vapor Deposition (CVD) Chemical vapor deposition (CVD) is a method of forming dense structural parts or coatings using the decomposition of relatively high vapor pressure gases. Gaseous compounds of the materials to be deposited (e.g. metals) are transported to a substrate surface where a thermal reaction/deposition occurs. The wafers are mounted in a frame that holds their exposed surfaces toward a crucible containing the material (e.g. Al). When the crucible is heated (the very high temperature, >600 C for Al, is inside the crucible), some of the material evaporates and deposits on the wafer surfaces. 2. Physical Vapor Deposition (PVD) Al metal layers can also be deposited through Physical Vapor Deposition (PVD) by sputtering. Sputtering may be described as a series of four steps: 1) high-energy ions are generated and are used to bombard a target (the source of material for deposition); 2) the ions sputter (eject) atoms from the target; 3) the sputtered atoms reach the substrate; and 4) the sputtered atoms condense and form a thin film over the substrate. This is a low-temperature process

10 Process timings (data 2000) Throughput of photo equipment (wafers processed per stepper per day): STP = 1000 for logic, 600 for memory Direct labor productivity (wafer layers per operator per day): DLP = 85 for logic, 55 for memory Cycle time per mask layer (days): CTPM = 1.2 for both logic and memory

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 9/13/2007 Fabrication Technology Lecture 1 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world)

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

Introduction to Lithography

Introduction to Lithography Introduction to Lithography G. D. Hutcheson, et al., Scientific American, 290, 76 (2004). Moore s Law Intel Co-Founder Gordon E. Moore Cramming More Components Onto Integrated Circuits Author: Gordon E.

More information

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) contents UNIT I INTRODUCTION: Introduction to IC Technology MOS, PMOS, NMOS, CMOS & BiCMOS technologies. BASIC ELECTRICAL PROPERTIES : Basic Electrical

More information

Fabrication and Layout

Fabrication and Layout ECEN454 Digital Integrated Circuit Design Fabrication and Layout ECEN 454 3.1 A Glimpse at MOS Device Polysilicon Aluminum ECEN 475 4.2 1 Material Classification Insulators Glass, diamond, silicon oxide

More information

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing ELEC 3908, Physical Electronics, Lecture 4 Basic Integrated Circuit Processing Lecture Outline Details of the physical structure of devices will be very important in developing models for electrical behavior

More information

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS AND FABRICATION ENGINEERING ATTHE MICRO- NANOSCALE Fourth Edition STEPHEN A. CAMPBELL University of Minnesota New York Oxford OXFORD UNIVERSITY PRESS CONTENTS Preface xiii prrt i OVERVIEW AND MATERIALS

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther EECS 40 Spring 2003 Lecture 19 Microfabrication 4/1/03 Prof. ndy Neureuther How are Integrated Circuits made? Silicon wafers Oxide formation by growth or deposition Other films Pattern transfer by lithography

More information

Lecture 22: Integrated circuit fabrication

Lecture 22: Integrated circuit fabrication Lecture 22: Integrated circuit fabrication Contents 1 Introduction 1 2 Layering 4 3 Patterning 7 4 Doping 8 4.1 Thermal diffusion......................... 10 4.2 Ion implantation.........................

More information

Fabrication Technology

Fabrication Technology Fabrication Technology By B.G.Balagangadhar Department of Electronics and Communication Ghousia College of Engineering, Ramanagaram 1 OUTLINE Introduction Why Silicon The purity of Silicon Czochralski

More information

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in Chapter 5 PROCESSING OF DEVICES A discussion of crystal growth, lithography, etching, doping, and device structures is presented in the following overview gures. SEMICONDUCTOR DEVICE PROCESSING: AN OVERVIEW

More information

Semiconductor Device Fabrication

Semiconductor Device Fabrication 5 May 2003 Review Homework 6 Semiconductor Device Fabrication William Shockley, 1945 The network before the internet Bell Labs established a group to develop a semiconductor replacement for the vacuum

More information

Technology. Semiconductor Manufacturing. Hong Xiao INTRODUCTION TO SECOND EDITION SPIE PRESS

Technology. Semiconductor Manufacturing. Hong Xiao INTRODUCTION TO SECOND EDITION SPIE PRESS INTRODUCTION TO Semiconductor Manufacturing Technology SECOND EDITION Hong Xiao TECHNISCHE INFORMATIONSBiBUOTHEK UNIVERSITATSBIBLIOTHEK HANNOVER SPIE PRESS Bellingham,Washington USA Contents Preface to

More information

Lecture Day 2 Deposition

Lecture Day 2 Deposition Deposition Lecture Day 2 Deposition PVD - Physical Vapor Deposition E-beam Evaporation Thermal Evaporation (wire feed vs boat) Sputtering CVD - Chemical Vapor Deposition PECVD LPCVD MVD ALD MBE Plating

More information

VLSI Digital Systems Design

VLSI Digital Systems Design VLSI Digital Systems Design CMOS Processing cmpe222_03process_ppt.ppt 1 Si Purification Chemical purification of Si Zone refined Induction furnace Si ingot melted in localized zone Molten zone moved from

More information

PROCESSING OF INTEGRATED CIRCUITS

PROCESSING OF INTEGRATED CIRCUITS PROCESSING OF INTEGRATED CIRCUITS Overview of IC Processing (Part I) Silicon Processing Lithography Layer Processes Use in IC Fabrication (Part II) Integrating the Fabrication Steps IC Packaging (Part

More information

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Metallization deposition and etching. Material mainly taken from Campbell, UCCS Metallization deposition and etching Material mainly taken from Campbell, UCCS Application Metallization is back-end processing Metals used are aluminum and copper Mainly involves deposition and etching,

More information

Photolithography I ( Part 2 )

Photolithography I ( Part 2 ) 1 Photolithography I ( Part 2 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation. Figure 2.1 (p. 58) Basic fabrication steps in the silicon planar process: (a) oxide formation, (b) selective oxide removal, (c) deposition of dopant atoms on wafer, (d) diffusion of dopant atoms into exposed

More information

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE Dr. Alan Doolittle

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE Dr. Alan Doolittle Lecture 12 Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12 Evaporation and Sputtering (Metalization) Evaporation For all devices, there is a need to go from semiconductor to metal.

More information

Thermal Evaporation. Theory

Thermal Evaporation. Theory Thermal Evaporation Theory 1. Introduction Procedures for depositing films are a very important set of processes since all of the layers above the surface of the wafer must be deposited. We can classify

More information

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University 2014 Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University Page1 Syllabus UNIT 1 Introduction to VLSI Technology: Classification of ICs, Scale of integration,

More information

Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices

Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices Hitachi Review Vol. 65 (2016), No. 7 233 Featured Articles Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices Ion-beam-based Photomask Defect Repair

More information

VLSI Technology. By: Ajay Kumar Gautam

VLSI Technology. By: Ajay Kumar Gautam By: Ajay Kumar Gautam Introduction to VLSI Technology, Crystal Growth, Oxidation, Epitaxial Process, Diffusion Process, Ion Implantation, Lithography, Etching, Metallization, VLSI Process Integration,

More information

Effects of Thin Film Depositions on the EUV mask Flatness

Effects of Thin Film Depositions on the EUV mask Flatness Effects of Thin Film Depositions on the EUV mask Flatness Kyoung-Yoon Bang, Jinback Back, Hwan-Seok Seo, Dongwan Kim, DongHoon Chung, SeongSue Kim, Sang-Gyun Woo, and HanKu Cho Photomask Team Semiconductor

More information

CMOS FABRICATION. n WELL PROCESS

CMOS FABRICATION. n WELL PROCESS CMOS FABRICATION n WELL PROCESS Step 1: Si Substrate Start with p- type substrate p substrate Step 2: Oxidation Exposing to high-purity oxygen and hydrogen at approx. 1000 o C in oxidation furnace SiO

More information

EE 434 Lecture 9. IC Fabrication Technology

EE 434 Lecture 9. IC Fabrication Technology EE 434 Lecture 9 IC Fabrication Technology Quiz 7 The layout of a film resistor with electrodes A and B is shown. If the sheet resistance of the film is 40 /, determine the resistance between nodes A and

More information

Semiconductor Technology

Semiconductor Technology Semiconductor Technology from A to Z Oxidation www.halbleiter.org Contents Contents List of Figures List of Tables II III 1 Oxidation 1 1.1 Overview..................................... 1 1.1.1 Application...............................

More information

3. Overview of Microfabrication Techniques

3. Overview of Microfabrication Techniques 3. Overview of Microfabrication Techniques The Si revolution First Transistor Bell Labs (1947) Si integrated circuits Texas Instruments (~1960) Modern ICs More? Check out: http://www.pbs.org/transistor/background1/events/miraclemo.html

More information

Silicon Wafer Processing PAKAGING AND TEST

Silicon Wafer Processing PAKAGING AND TEST Silicon Wafer Processing PAKAGING AND TEST Parametrical test using test structures regularly distributed in the wafer Wafer die test marking defective dies dies separation die fixing (not marked as defective)

More information

Dr. Priyabrat Dash Office: BM-406, Mob: Webpage: MB: 205

Dr. Priyabrat Dash   Office: BM-406, Mob: Webpage:  MB: 205 Email: dashp@nitrkl.ac.in Office: BM-406, Mob: 8895121141 Webpage: http://homepage.usask.ca/~prd822/ MB: 205 Nonmanufacturing In continuation from last class... 2 Top-Down methods Mechanical-energy methods

More information

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller Webpage: http://www.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604

More information

Chapter 2 MOS Fabrication Technology

Chapter 2 MOS Fabrication Technology Chapter 2 MOS Fabrication Technology Abstract This chapter is concerned with the fabrication of metal oxide semiconductor (MOS) technology. Various processes such as wafer fabrication, oxidation, mask

More information

Introduction to Micro/Nano Fabrication Techniques. Date: 2015/05/22 Dr. Yi-Chung Tung. Fabrication of Nanomaterials

Introduction to Micro/Nano Fabrication Techniques. Date: 2015/05/22 Dr. Yi-Chung Tung. Fabrication of Nanomaterials Introduction to Micro/Nano Fabrication Techniques Date: 2015/05/22 Dr. Yi-Chung Tung Fabrication of Nanomaterials Top-Down Approach Begin with bulk materials that are reduced into nanoscale materials Ex:

More information

Basic&Laboratory& Materials&Science&and&Engineering& Micro&Electromechanical&Systems&& (MEMS)&

Basic&Laboratory& Materials&Science&and&Engineering& Micro&Electromechanical&Systems&& (MEMS)& Basic&Laboratory& Materials&Science&and&Engineering& Micro&Electromechanical&Systems&& (MEMS)& M105& As of: 27.10.2011 1 Introduction... 2 2 Materials used in MEMS fabrication... 2 3 MEMS fabrication processes...

More information

EEC 118 Lecture #5: MOS Fabrication. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

EEC 118 Lecture #5: MOS Fabrication. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation EEC 118 Lecture #5: MOS Fabrication Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation Announcements Lab 3 this week, report due next week HW 3 due this Friday at 4

More information

Application of Electronic Devices for Aerosol Deposition Methods

Application of Electronic Devices for Aerosol Deposition Methods Application of Electronic Devices for Aerosol Deposition Methods NAKADA Masafumi, KAWAKAMI Toshihiro, IWANAMI Mizuki, OHASHI Keishi Abstract Oxide materials have superior functional characteristics that

More information

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules 2. CMOS Fabrication, Layout, Design Rules Last module: Introduction to the course How a transistor works CMOS transistors This module: CMOS Fabrication Design Rules CMOS Fabrication CMOS transistors are

More information

ME 141B: The MEMS Class Introduction to MEMS and MEMS Design. Sumita Pennathur UCSB

ME 141B: The MEMS Class Introduction to MEMS and MEMS Design. Sumita Pennathur UCSB ME 141B: The MEMS Class Introduction to MEMS and MEMS Design Sumita Pennathur UCSB Outline today Introduction to thin films Oxidation Deal-grove model CVD Epitaxy Electrodeposition 10/6/10 2/45 Creating

More information

EUV Technology, Martinez, CA

EUV Technology, Martinez, CA Compact in-line EUV Laser Plasma Reflectometer for the measurement reflectivity and uniformity of EUV Lithography Mask Blank Multilayer Coatings Rupert C. C. Perera & James H. Underwood EUV Technology,

More information

Lithography options for the 32nm half pitch node. imec

Lithography options for the 32nm half pitch node. imec Lithography options for the 32nm half pitch node imec 2006 1 Lithography options for the 32nm half pitch node Luc Van den hove and Kurt Ronse ITRS roadmap:32 nm half pitch requirement Product Half-Pitch,

More information

X-ray Photoelectron Spectroscopy

X-ray Photoelectron Spectroscopy X-ray Photoelectron Spectroscopy X-ray photoelectron spectroscopy (XPS) is a non-destructive technique used to analyze the elemental compositions, chemical and electronic states of materials. XPS has a

More information

Chapter 2 Problems. The CMOS technology we need to realize is shown below, from Figure 1-34 in the text. S P + N P + N WELL P +

Chapter 2 Problems. The CMOS technology we need to realize is shown below, from Figure 1-34 in the text. S P + N P + N WELL P + Chapter 2 roblems 2.1 Sketch a process flow that would result in the structure shown in Figure 1-34 by drawing a series of drawings similar to those in this chapter. You only need to describe the flow

More information

VLSI Systems and Computer Architecture Lab

VLSI Systems and Computer Architecture Lab ΚΥΚΛΩΜΑΤΑ VLSI Πανεπιστήμιο Ιωαννίνων CMOS Technology Τμήμα Μηχανικών Η/Υ και Πληροφορικής 1 From the book: An Introduction ti to VLSI Process By: W. Maly ΚΥΚΛΩΜΑΤΑ VLSI Διάρθρωση 1. N well CMOS 2. Active

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time Etching Dry etch (anisotropic) SiO

More information

FABRICATION of MOSFETs

FABRICATION of MOSFETs FABRICATION of MOSFETs CMOS fabrication sequence -p-type silicon substrate wafer -creation of n-well regions for pmos transistors, -impurity implantation into the substrate. -thick oxide is grown in the

More information

Silicon Manufacturing

Silicon Manufacturing Silicon Manufacturing Group Members Young Soon Song Nghia Nguyen Kei Wong Eyad Fanous Hanna Kim Steven Hsu th Fundamental Processing Steps 1.Silicon Manufacturing a) Czochralski method. b) Wafer Manufacturing

More information

From microelectronics down to nanotechnology.

From microelectronics down to nanotechnology. From microelectronics down to nanotechnology sami.franssila@tkk.fi Contents Lithography: scaling x- and y-dimensions MOS transistor physics Scaling oxide thickness (z-dimension) CNT transistors Conducting

More information

Enabling Technology in Thin Wafer Dicing

Enabling Technology in Thin Wafer Dicing Enabling Technology in Thin Wafer Dicing Jeroen van Borkulo, Rogier Evertsen, Rene Hendriks, ALSI, platinawerf 2G, 6641TL Beuningen Netherlands Abstract Driven by IC packaging and performance requirements,

More information

PRESSURE SENSOR MODEL ACTIVITY. Pressure Sensor Model Activity

PRESSURE SENSOR MODEL ACTIVITY. Pressure Sensor Model Activity PRESSURE SENSOR MODEL ACTIVITY Pressure Sensor Model Activity Unit Overview This activity uses household materials to build a pressure sensor Wheatstone Bridge sensing circuit Flexible diaphragm Reference

More information

and Technology of Thin Films

and Technology of Thin Films An Introduction to Physics and Technology of Thin Films This page is intentionally left blank An Introduction to Physics and Technology of Thin Films Alfred Wagendriste1 Institute of Applied and Technical

More information

Coatings. Ion Assisted Deposition (IAD) process Advance Plasma Source (APS) plasma-ion assisted Deposition. Coatings on Optical Fibers

Coatings. Ion Assisted Deposition (IAD) process Advance Plasma Source (APS) plasma-ion assisted Deposition. Coatings on Optical Fibers Anti-Reflection Custom Ion Assisted Deposition (IAD) process Advance Plasma Source (APS) plasma-ion assisted Deposition Anti-Reflection on Optical Fibers OptoSigma supplies a wide selection of optical

More information

FIB mask repair technology for EUV mask 1. INTRODUCTION

FIB mask repair technology for EUV mask 1. INTRODUCTION FIB mask repair technology for EUV mask Tsuyoshi Amano*, Yasushi Nishiyama*, iroyuki Shigemura*, Tsuneo Terasawa*, Osamu Suga*, Kensuke Shiina**, Fumio Aramaki**, Anto Yasaka** Tsukasa Abe***, iroshi Mohri***

More information

Temperature Scales. Questions. Temperature Conversions 7/21/2010. EE580 Solar Cells Todd J. Kaiser. Thermally Activated Processes

Temperature Scales. Questions. Temperature Conversions 7/21/2010. EE580 Solar Cells Todd J. Kaiser. Thermally Activated Processes 7/1/010 EE80 Solar Cells Todd J. Kaiser Flow of Wafer in Fabrication Lecture 0 Microfabrication A combination of Applied Chemistry, Physics and ptics Thermal Processes Diffusion & xidation Photolithograpy

More information

ULTRA-SMALL VIA-TECHNOLOGY OF THINFILM POLYMERS USING ADVANCED SCANNING LASER ABLATION

ULTRA-SMALL VIA-TECHNOLOGY OF THINFILM POLYMERS USING ADVANCED SCANNING LASER ABLATION ULTRA-SMALL VIA-TECHNOLOGY OF THINFILM POLYMERS USING ADVANCED SCANNING LASER ABLATION Michael Töpper Fraunhofer Research Institution for Reliability and Microintegration IZM Germany Martin Wilke, Klaus-Dieter

More information

Damage Threats and Response of Final Optics for Laser-Fusion Power Plants

Damage Threats and Response of Final Optics for Laser-Fusion Power Plants Damage Threats and Response of Final Optics for Laser-Fusion Power Plants M. S. Tillack 1, S. A. Payne 2, N. M. Ghoniem 3, M. R. Zaghloul 1 and J. F. Latkowski 2 1 UC San Diego, La Jolla, CA 92093-0417

More information

KGC SCIENTIFIC Making of a Chip

KGC SCIENTIFIC  Making of a Chip KGC SCIENTIFIC www.kgcscientific.com Making of a Chip FROM THE SAND TO THE PACKAGE, A DIAGRAM TO UNDERSTAND HOW CPU IS MADE? Sand CPU CHAIN ANALYSIS OF SEMICONDUCTOR Material for manufacturing process

More information

Optical Coatings. Photonics 4 Luxury Coatings , Genève. Dr. Andreas Bächli Head of Optical Coatings at RhySearch, Buchs (SG)

Optical Coatings. Photonics 4 Luxury Coatings , Genève. Dr. Andreas Bächli Head of Optical Coatings at RhySearch, Buchs (SG) Optical Coatings Photonics 4 Luxury Coatings 21.06.2017, Genève Dr. Andreas Bächli Head of Optical Coatings at RhySearch, Buchs (SG) RhySearch The Research- and Innovation Center in the Rhine Valley RhySearch

More information

Development Status of EUVL Blank and Substrate Asahi Glass Co. Ltd. Kazunobu Maeshige

Development Status of EUVL Blank and Substrate Asahi Glass Co. Ltd. Kazunobu Maeshige Development Status of EUVL Blank and Substrate Asahi Glass Co. Ltd. Kazunobu Maeshige 1 Contents 1. Introduction 2. Blank defect reduction 1. Inspection capability 2. Substrate 3. ML blank 4. Absorber

More information

Roll-to-roll Technology for Transparent High Barrier Films

Roll-to-roll Technology for Transparent High Barrier Films Roll-to-roll Technology for Transparent High Barrier Films Presented at the AIMCAL Fall Technical Conference, October 19-22, 2008, Myrtle Beach, SC, USA Nicolas Schiller, John Fahlteich, Matthias Fahland,

More information

Visualization and Control of Particulate Contamination Phenomena in a Plasma Enhanced CVD Reactor

Visualization and Control of Particulate Contamination Phenomena in a Plasma Enhanced CVD Reactor Visualization and Control of Particulate Contamination Phenomena in a Plasma Enhanced CVD Reactor Manabu Shimada, 1 Kikuo Okuyama, 1 Yutaka Hayashi, 1 Heru Setyawan, 2 and Nobuki Kashihara 2 1 Department

More information

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material on any substrate (in principal) Start with pumping down

More information

Multilayer Development for Extreme Ultraviolet and Shorter Wavelength Lithography

Multilayer Development for Extreme Ultraviolet and Shorter Wavelength Lithography Multilayer Development for Extreme Ultraviolet and Shorter Wavelength Lithography Eric Louis 1, Igor Makhotkin 1, Erwin Zoethout 1, Stephan Müllender 2 and Fred Bijkerk 1,3 1 FOM Institute for Plasma Physics

More information

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high vacuum ~10-7 torr Removes residual gases eg oxygen from

More information

Challenges and Future Directions of Laser Fuse Processing in Memory Repair

Challenges and Future Directions of Laser Fuse Processing in Memory Repair Challenges and Future Directions of Laser Fuse Processing in Memory Repair Bo Gu, * T. Coughlin, B. Maxwell, J. Griffiths, J. Lee, J. Cordingley, S. Johnson, E. Karagiannis, J. Ehrmann GSI Lumonics, Inc.

More information

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

Semiconductor Manufacturing Technology. IC Fabrication Process Overview Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 00 by Prentice Hall Chapter 9 IC Fabrication Process Overview /4 Objectives After studying the material in this chapter, you

More information

Visit

Visit Practical Applications for Nano- Electronics by Vimal Gopee E-mail: Vimal.gopee@npl.co.uk 10/10/12 Your Delegate Webinar Control Panel Open and close your panel Full screen view Raise hand for Q&A at the

More information

"Thin Film Technology" "Physics of Thin Films"

Thin Film Technology Physics of Thin Films D r d "Thin Film Technology" "Physics of Thin Films" Contents: Preface 1. Introduction 1.1. General 1.2. History 1.3. Definition of Terms 1.4. Applications of Thin Film Technology 1.5. Deposition Methods

More information

Nanofabrication Prof. Stephen Y. Chou NanoStructure Laboratory

Nanofabrication Prof. Stephen Y. Chou NanoStructure Laboratory Nanofabrication Prof. Stephen Y. Chou Department of Electrical Engineering Princeton University 1 Acknowledgment Dr. Paul Fischer Dr. Yun Wang Dr. Jay Guo Dr. Peter Klauss Dr. Jim Wang Dr. Longtin He Dr.

More information

EE 330 Fall Ruden Michael. Al Kaabi Humaid. Archer Tyler. Hafeez Mustafa. Mullen Taylor. Thedens Peter. Cao Khoi.

EE 330 Fall Ruden Michael. Al Kaabi Humaid. Archer Tyler. Hafeez Mustafa. Mullen Taylor. Thedens Peter. Cao Khoi. 1 2 5 6 3 4 8 7 1 2 3 4 5 6 ROW EE 330 Fall 2017 9 10 Al Kaabi Humaid Alegria Francisco Allison Trenton Alva Caroline Archer Tyler Bahashwan Abdullah Betke Jarrett Chun Junho Davidson Caleb Faronbi Matthew

More information

Effect of alignment mark depth on alignment signal behavior in advanced lithography

Effect of alignment mark depth on alignment signal behavior in advanced lithography Journal of Engineering Research and Education Vol. 5 () 7- Effect of alignment mark depth on alignment signal behavior in advanced lithography 1 Normah Ahmad, 1 Uda Hashim, Mohd Jeffery Manaf, Kader Ibrahim

More information

2006 UPDATE METROLOGY

2006 UPDATE METROLOGY INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS METROLOGY THE ITRS DEVED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING TO INDIVIDUAL PRODUCTS

More information

Process steps for Field Emitter devices built on Silicon wafers And 3D Photovoltaics on Silicon wafers

Process steps for Field Emitter devices built on Silicon wafers And 3D Photovoltaics on Silicon wafers Process steps for Field Emitter devices built on Silicon wafers And 3D Photovoltaics on Silicon wafers David W. Stollberg, Ph.D., P.E. Research Engineer and Adjunct Faculty GTRI_B-1 Field Emitters GTRI_B-2

More information

MINISTRY OF EDUCATION AND SCIENCE OF UKRAINE

MINISTRY OF EDUCATION AND SCIENCE OF UKRAINE MINISTRY OF EDUCATION AND SCIENCE OF UKRAINE National Technical University of Ukraine "Igor Sikorsky Kyiv Polytechnic Institute" Faculty of Physical Engineering Departments physics of metals 1 Student

More information

THE IMPACT OF 3D DEVICES ON THE FUTURE OF PROCESS MATERIALS TRENDS & OPPORTUNITIES

THE IMPACT OF 3D DEVICES ON THE FUTURE OF PROCESS MATERIALS TRENDS & OPPORTUNITIES THE IMPACT OF 3D DEVICES ON THE FUTURE OF PROCESS MATERIALS TRENDS & OPPORTUNITIES L. Shon Roy K. Holland, PhD. October 2014 Materials Examples Process materials used to make semiconductor devices Gases

More information

Surface Acoustic Wave fabrication using nanoimprint. Zachary J. Davis, Senior Consultant,

Surface Acoustic Wave fabrication using nanoimprint. Zachary J. Davis, Senior Consultant, Surface Acoustic Wave fabrication using nanoimprint Zachary J. Davis, Senior Consultant, zjd@teknologisk.dk Center for Microtechnology & Surface Analysis Micro and Nano Technology Sensor Technology Top

More information

Alternative Methods of Yttria Deposition For Semiconductor Applications. Rajan Bamola Paul Robinson

Alternative Methods of Yttria Deposition For Semiconductor Applications. Rajan Bamola Paul Robinson Alternative Methods of Yttria Deposition For Semiconductor Applications Rajan Bamola Paul Robinson Origin of Productivity Losses in Etch Process Aggressive corrosive/erosive plasma used for etch Corrosion/erosion

More information

micro resist technology

micro resist technology Characteristics Processing guidelines Negative Tone Photoresist Series ma-n 2400 ma-n 2400 is a negative tone photoresist series designed for the use in micro- and nanoelectronics. The resists are available

More information

CHAPTER 1 INTRODUCTION TO TRANSPARENT CONDUCTING OXIDES AND THIN FILM PREPARATION METHODS

CHAPTER 1 INTRODUCTION TO TRANSPARENT CONDUCTING OXIDES AND THIN FILM PREPARATION METHODS 1 CHAPTER 1 INTRODUCTION TO TRANSPARENT CONDUCTING OXIDES AND THIN FILM PREPARATION METHODS 1.1 INTRODUCTION In recent years, the demand for thin film coatings on large area substrates has been rapidly

More information

Understanding Optical Coatings For Military Applications

Understanding Optical Coatings For Military Applications Understanding Optical Coatings For Military Applications By Trey Turner, Chief Technology Officer, REO Virtually all optical components used in military applications, such as target designation, rangefinding

More information

Surface micromachining and Process flow part 1

Surface micromachining and Process flow part 1 Surface micromachining and Process flow part 1 Identify the basic steps of a generic surface micromachining process Identify the critical requirements needed to create a MEMS using surface micromachining

More information

Fabrication Technologies and Instruments. The available fabrication technologies and instruments for fabricating the sub-wavelength

Fabrication Technologies and Instruments. The available fabrication technologies and instruments for fabricating the sub-wavelength Chapter 3 Fabrication Technologies and Instruments 3.1 Introduction The available fabrication technologies and instruments for fabricating the sub-wavelength grating will be described in this chapter.

More information

Plasmonics using Metal Nanoparticles. Tammy K. Lee and Parama Pal ECE 580 Nano-Electro-Opto-Bio

Plasmonics using Metal Nanoparticles. Tammy K. Lee and Parama Pal ECE 580 Nano-Electro-Opto-Bio Plasmonics using Metal Nanoparticles Tammy K. Lee and Parama Pal ECE 580 Nano-Electro-Opto-Bio April 1, 2007 Motivation Why study plasmonics? Miniaturization of optics and photonics to subwavelength scales

More information

Process Flow in Cross Sections

Process Flow in Cross Sections Process Flow in Cross Sections Process (simplified) 0. Clean wafer in nasty acids (HF, HNO 3, H 2 SO 4,...) --> wear gloves! 1. Grow 500 nm of SiO 2 (by putting the wafer in a furnace with O 2 2. Coat

More information

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1 Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1 LECTURE 030 INTEGRATED CIRCUIT TECHNOLOGY - I (References [7,8]) Objective The objective of this presentation is: 1.) Illustrate integrated

More information

Transmission Electron Microscopy (TEM) Prof.Dr.Figen KAYA

Transmission Electron Microscopy (TEM) Prof.Dr.Figen KAYA Transmission Electron Microscopy (TEM) Prof.Dr.Figen KAYA Transmission Electron Microscope A transmission electron microscope, similar to a transmission light microscope, has the following components along

More information

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon Chapter 5 Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon 5.1 Introduction In this chapter, we discuss a method of metallic bonding between two deposited silver layers. A diffusion

More information

LOW TEMPERATURE PHOTONIC SINTERING FOR PRINTED ELECTRONICS. Dr. Saad Ahmed XENON Corporation November 19, 2015

LOW TEMPERATURE PHOTONIC SINTERING FOR PRINTED ELECTRONICS. Dr. Saad Ahmed XENON Corporation November 19, 2015 LOW TEMPERATURE PHOTONIC SINTERING FOR PRINTED ELECTRONICS Dr. Saad Ahmed XENON Corporation November 19, 2015 Topics Introduction to Pulsed Light Photonic sintering for Printed Electronics R&D Tools for

More information

Micro & nanofabrica,on

Micro & nanofabrica,on Micro & nanofabrica,on Photolitography : - contact - projec,on Electron Beam lithography (EBL) Nano imprint lithography Etching Contact Photolithography Substrate (e.g. Silicon wafer) Photoresist spinning

More information

LANDOLT-BÖRNSTEIN. Zahlenwerte und Funktionen aus Naturwissenschaften und Technik. Neue Serie. Gesamtherausgabe: K.-H. Hellwege O.

LANDOLT-BÖRNSTEIN. Zahlenwerte und Funktionen aus Naturwissenschaften und Technik. Neue Serie. Gesamtherausgabe: K.-H. Hellwege O. LANDOLT-BÖRNSTEIN Zahlenwerte und Funktionen aus Naturwissenschaften und Technik Neue Serie Gesamtherausgabe: K.-H. Hellwege O. Madelung Gruppe III: Kristall- und Festkörperphysik Band 17 Halbleiter Herausgeber:

More information

Oxide Growth. 1. Introduction

Oxide Growth. 1. Introduction Oxide Growth 1. Introduction Development of high-quality silicon dioxide (SiO2) has helped to establish the dominance of silicon in the production of commercial integrated circuits. Among all the various

More information

Processing guidelines. Negative Tone Photoresist Series ma-n 2400

Processing guidelines. Negative Tone Photoresist Series ma-n 2400 Characteristics Processing guidelines Negative Tone Photoresist Series ma-n 2400 ma-n 2400 is a negative tone photoresist series designed for the use in micro- and nanoelectronics. The resists are available

More information

Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs

Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs Joohan Lee, Joseph J. Griffiths, and James Cordingley GSI Group Inc. 60 Fordham Rd. Wilmington, MA 01887 jlee@gsig.com

More information

Laser Produced Plasma for Production EUV Lithography

Laser Produced Plasma for Production EUV Lithography TRW / Cutting Edge Optronics Laser Produced Plasma for Production EUV Lithography EUVL Source Workshop October 29, 2001 TRW/CEO Laser-Produced Plasma (LPP) EUV Source Development and Commercialization

More information

micro resist technology

micro resist technology Characteristics Processing guidelines Negative Tone Photoresist Series ma-n 1400 ma-n 1400 is a negative tone photoresist series designed for the use in microelectronics and microsystems. The resists are

More information

CMOS Manufacturing process. Circuit designer. Design rule set. Process engineer. Set of optical masks. Fabrication process.

CMOS Manufacturing process. Circuit designer. Design rule set. Process engineer. Set of optical masks. Fabrication process. CMOS Manufacturing process Circuit design Set of optical masks Fabrication process Circuit designer Design rule set Process engineer All material: Chap. 2 of J. Rabaey, A. Chandrakasan, B. Nikolic, Digital

More information

Precision Optical Engineering

Precision Optical Engineering Precision Optical Engineering Products: Prisms Windows Mirrors Flats and Master angles Sight Glasses Key Features: Prisms (Contacted, Cemented, AR coated, Mounted) Windows (Flat, wedged, curved, drilled,

More information

Laser Crystallization for Low- Temperature Poly-Silicon (LTPS)

Laser Crystallization for Low- Temperature Poly-Silicon (LTPS) Laser Crystallization for Low- Temperature Poly-Silicon (LTPS) David Grant University of Waterloo ECE 639 Dr. Andrei Sazonov What s the current problem in AM- LCD and large-area area imaging? a-si:h has

More information

Amorphous Silicon Solar Cells

Amorphous Silicon Solar Cells The Birnie Group solar class and website were created with much-appreciated support from the NSF CRCD Program under grants 0203504 and 0509886. Continuing Support from the McLaren Endowment is also greatly

More information