Wafer Process. For University Ver1.1. October, Renesas Technology Corp., All rights reserved.

Size: px
Start display at page:

Download "Wafer Process. For University Ver1.1. October, Renesas Technology Corp., All rights reserved."

Transcription

1 Wafer Process Overseas Design Support Center Shigeru Shimada October, 2007 For University Ver1.1

2 Contents of Wafer Process 1. What is semiconductor? 2. Why silicon is mot popular? 3. N type and P type Silicon 4. Why silicon wafer is round? 5. Crystal growth technology 6. What is MOS? 7. Basic steps of LSI wafer process 2

3 Contents of Wafer Process continued 8. Explanation of component process Oxidation, Plasma, CVD, Sputtering, Lithography (Stepper and Scanner), Device Isolation, Dry Etching, Plasma ashing, Ion Implantation, Annealing, Ohmic contact, Barrier metal, and CMP) 9. Modern CMOS Process 10. Reference 3

4 What is a Semiconductor? A semiconductor is a material that behaves in between a conductor and an insulator. At room temperature, it conducts electricity more Easily than an insulator, but less readily than a conductor. At very low temperatures, pure or intrinsic semiconductors behave like insulators. At higher temperatures though or under light, intrinsic semiconductors can become conductive. The addition of impurities to a pure semiconductor can also increase its conductivity. 4

5 What is a Semiconductor? glass germanium gold rubber silicon cupper ceramic aluminum Insulator Semiconductor Conductor Resistivity 8 10 ohm cm 4 10 ohm cm 5

6 Why Silicon is a most popular Semiconductor? Silicon exists everywhere. High quality Oxide can be made on Silicon. Raw material Raw material are silica stone and silica sand made from SiO2. It needs the electric power to make metal Silicon, so the countries where the electric power cost is low are the producing countries. They used to be China, Brazil, Russia, South Africa and Norway, and very recently, Australia,Malaysia and Viet Nam are coming up. Raw material Metal Silicon Poly Crystal silicon Single Crystal Silicon(Eleven Nine: %) 6 Crystal pulling method : Czochralski (CZ) method, Floating Zone method

7 Crystal structure of Silicon Silicon belongs to the cubic crystal system and has a diamond structure. This is characterized by having each atom symmetrically surrounded by four equally spaced neighbors. 7

8 Crystal planes (100) plane (110) plane (111) plane 8

9 Periodic Table Group III 5 B 13 Al 31 Ga IV 6 C 14 Si 32 Ge V 7 N 15 P 33 As + 9

10 N type and P type Silicon Silicon crystal is rarely used in the pure state. Usually, some impurity called a dopant is added in small controlled amount. If a boron atom is substituted for a silicon atom in the silicon lattice, the boron atom with only three of available electrons would be able to form bonds to only three of the four adjacent silicon atom and a hole would be formed. 10

11 N type and P type Silicon Continued + Si 14+ Si 14+ Si 14+ Si 14+ Si 14+ Si 14+ Si 14+ Si 14+ Si 14+ Si 14+ Si 14+ Si 14+ Si 14+ B 5+ Si 14+ Si 14+ Si 14+ Si

12 It is very easy for an electron from a nearby silicon to silicon bond to fall into this hole and effectively move the hole away from the boron atom. Since the boron atom will accept an electron, boron and the other elements of Group III(B, In, Ga) are refered to as acceptors. Silicon with acceptor is called as P type silicon, since Positive holes are generated and contribute a current flow. 12

13 If a Group V atom, such as phosphorus, is introduced into the silicon lattice, it will have an extra electron which may easily break away, becoming a conduction electron. The phosphorus is refered to a donor, since it donates an electron to the conduction band. Other donors are As and Sb. Silicon with donor is called as N type silicon, since Negative electrons are generated and contribute the current flow. Si 14+ Si 14+ Si 14+ Si 14+ P 15+ Si 14+ Si 14+ Si 14+ Si

14 Properties of Silicon and Silicon Oxide 14

15 Why Silicon wafer is round? Singlecrystal Silicon Silicon / wafer 15

16 Crystal Growth Technology FZ method Polycrystal rod FZ method Melting Singlecrystal silicon Heat Coil Seed crystal Seeding CZ method Seed crystal Heater Quartz Crucible Seeding Singlecrystal silicon CZ method Silicon melt 1420 Reference : Seibundo Shinkosha Inc. Guide with semiconductor pictures (1995) 16

17 What is MOS? M(Metal)O(Oxide)S(Semiconductor) Metal Oxide Semiconductor MOS Structure 17

18 Basic structure of MOS Transistor Electrode: Highly doped PolySilicon Source and Drain: Highly doped N+ Diffusion Gate Oxide: Silicon Oxide Gate Source Drain N + Electrode Gate Oxide N + ptype Substrate Circuit symbol 18 N channel MOS Transistor Substrate

19 Basic Steps of LSI wafer process A) Thin Film formation: Oxidation: Thermal Oxidation CVD(Chemical Vapor Deposition): Deposition of PolySilicon, Silicon Nitride, Silicon Oxide Evaporation, Sputtering: Aluminum, Metal, Silicide (Alloy of Silicon and other metal) Plating: Cu B) Patterning Photolithography: Forming photoresist patterns using UltraViolet beam Etching: Wet or Dry etching using Photoresist as a masking material 19

20 Basic Steps of LSI wafer process Continued C) Introduction of impurity Diffusion:Diffusion of Solid or vapor phase Ion Implantation:Ionized impurity bombardment using electric field D) Cleaning: Wafer cleaning using acid or ultra pure water These four steps are repeated during wafer process. 20

21 Principle of Oxidation 1. Dry Oxidation Oxidation in Dry Oxygen Used to form the thin oxide Si+O 2 (Gas) SiO 2 2. Wet Oxidation Oxidation in steam Used to form the thick oxide Si+2H 2 O(Gas) SiO 2 +2H 2 (Gas) Since the diffusion coefficient of H 2 O is larger than O 2, the wet oxidation can form thicker oxide in shorter time. 21

22 Plasma A plasma is typically an ionized gas,, and is usually considered to be a distinct phase of matter in contrast to solids, liquids, and gases because of its unique properties. Ionized" means that at least one electron has been dissociated from a proportion of the atoms or molecules. The free electron charges make the plasma electrically conductive so that it responds strongly to electromagnetic fields. 22

23 Typical PECVD (Plasma Enhanced CVD) equipment configuration RFpower input Electrode Plasma Gas Outlet Gas Inlet Ground Heater Silicon wafer 23

24 Principle of CVD The material gas is transferred to wafer surface where the reaction occurs and the reaction product deposits on wafer. Energy(heat, plasma, light, etc) Material gas Silicon wafer thin film and byproduct Thermal decomposition: SiH4 Si +2H2 Oxidation: SiH4 + 2O2 SiO2 + 2H2O Reaction: 3SiH4 + 4NH3 Si3N4 + 12H2 24

25 Sputtering Sputtering is a physical process whereby atoms in a solid target material are ejected into the gas phase due to bombardment of the material by energetic ions. It is commonly used for thinfilm deposition. 25

26 Sputtering Continued Matching Network RFpower input Electrode RF Generator Argon Plasma Target Vacuum Ground Sputtering Gas Inlet (Ar( Ar) Silicon wafer 26

27 What is Lithography Technology? This is a technology where semiconductor circuit patterns formed on photomask(mask) are repeatedly built on a wafer with high accuracy. Wafer process flow chart Film Forming Photomask CMP (Planarization) Si wafer Resist coating Film Expose Develop Etching or ion implantation Film forming process (Developing) Resist Coating Expose mask pattern Resist removal 27 Next process Resist pattern formation Etching Resist removal

28 Photoresist There are two types of photoresist, one is positive (posi) resist and the other is negative (nega) resist. When posi resist is exposed and developed, the resist of exposed portion is dissolved and when nega resist is exposed and developed, the exposed portion remains. We use posi resist for fine patterning. 28

29 Photoresist coating Photoresist is dropped on a wafer, then the wafer is spun to spread the resist. Photoresist is sensitive to a short wave length. So a light of longer wave length is used in the clean room. Spin Coater 29

30 Photolithography Machine Formation Masking blade Light source High eyes lens 1 st Zoom condenser Condenser lens Illumination system Reticule (mask) 1 st Zoom condenser 2 nd Input lens Relay optics Stopper Collimator lens Reticule Excimer laser Beam monitor Reduction projection lens 1 st input lens Projection lens Image shift Wafer Laser overdraw ND filter Wafer stage Manufacturers Nikon Cannon ASML For example refer to Cannon KrF sstepper FPA3000EX6 illumination system <Parameter related to exposure> Light source : Exposure wavelength Illumination : σ, Variable illumination Mask : Phase shift mask, magnification Reduction projection lens: NA, aberration Wafer stage: X,Y, Z position, Positioning accuracy 30

31 Types of Photolithography Machines Stepper and Scanner Stepper The target area for exposure (shot) is illuminated thoroughly and exposed entirely. Mask The area exposed to light Wafer Scanner The target area for exposure (shot) is illuminated partially in the form of a slit, and exposed by synchronously scanning the reticule and the wafer stage. 31

32 Schematic diagram of an RFpowered plasma etch system Matching Network RFpower input Electrode RF Generator Plasma Plasma sheaths Gas Inlet Ground Silicon wafer Gas Outlet 32

33 Dry Etching Figure 1 :Example of etching flow Film to be etched Photoresist Deposition Photolithography Etching Removal of photoresist Table 1 Main processed film Insulation film SiO2, Si3N4, Lowk material Wiring material AlCu, W, WSi2, CoSi, TiN, PolySi, Pt, Ru High dielectric material Ta2O5, BST Antireflection film Organic ARC, Inorganic ARC (psion, etc.) BST:(Ba,Sr)TiO 3 ARC:AntiReflection Reflection Coating 33

34 Dry Etching Continued Figure 2 Crosssection of etching P.R PolySi LResist LEtch P.R PolySi PolySi PolySi SiO2 Anisotropic etching SiO 2 Isotropic etching SiO2 CD shift: LResist LEtch 34

35 Reaction during Dry Etching Generation of etching seeds Plasma Absorption of etching seeds Dissociation of etching seeds Formation of reaction products Detachment of reaction products Detachment of adsorbent carbon 35

36 Plasma ashing In semiconductor manufacturing plasma ashing is the process of removing the photoresist from an etched wafer. Using a plasma source, a monatomic reactive species is generated. Oxygen or fluorine are the most common reactive species. The reactive species combines with the photoresist to form ash which is removed with a vacuum pump. Typically, monatomic (single atom) oxygen plasma is created by exposing oxygen gas (O2) to ionizing radiation. At the same time, many free radicals are formed which could damage the wafer. 36

37 Plasma ashing Continued Newer, smaller circuitry is increasingly susceptible to these particles. Originally, plasma was generated in the process chamber, but as the need to get rid of free radicals has increased, many machines now use a downstream Plasma configuration, where plasma is formed remotely and channeled to the wafer. This allows electrically charged particles time to recombine before they reach the wafer surface, and prevents damage to the wafer surface. 37

38 Ion Implantation Ion implantation is used to alter the surface properties of semiconductor materials. By doping the desired elements on the semiconductor substrate, or in the thin film on the substrate, PN junction is formed or surface properties are controlled by thermal treatment, recovery of dislocated crystal or implanted impurity atoms are substituted at the lattice point and activated electrically (referred to as Anneal). (Usage) Well formation Isolation between devices Source drain formation etc. (Requirement from device) Shallow junction formation 38

39 Features of Ion Implantation Can highly control the concentration and implantation depth. Photoresist can be used as a mask for a selective implantation. (Room temperature process) Can dope at low concentration. Conventional impurity doping technology such as thermal diffusion has replaced the thermal diffusion with ion implantation. 39

40 Implantation for Vth control If the acceptor ion is implanted to NMOS, then Vth of NMOS is increased. If the donor ion is implanted to NMOS, Vth of NMOS is lowered. Vice verse to PMOS. Delta Vth = Qimp/Cox Where Qimp=q*N, N=Dosage of implantation and Cox is Gate Capacitance. q= (C). Cox=ε 0 *ε r /Tox(ε 0 =8.85E12F/m, ε r =3.9) 40

41 Purpose of Annealing Annealing is done for the purpose of 1. Damage relaxation from the bombardment of impurity 2. Activation of doped impurity 3. Diffusion of doped impurity 41

42 Model of defect recovery and ion activation by annealing Process flow Before implantation Alignment model of Si atom Description Si atom Dopant ion Si atoms are aligned & bonded. However, only Si atoms may allow a small current to pass. After implantation Ion beam Due to ion Implantation, dopant and Si atoms collide, alignment is disturbed (defect occurred), and the covalent bonds break. In this case, implanted ions are not bonded to Si atoms, but is in between Si atoms. (Interstitial atom) In this condition, a current does not flow even though dopant ion exists. (Inactive condition) After annealing By annealing, the alignment of Si atoms is recovered, and ions also get bond to Si. Therefore a current can flow. (Ion activation) 42

43 Ohmic contact and barrier metal Ohmic Contact An ohmic contact refers to the contact between a metal and a semiconductor to allow carriers to flow in and out of the semiconductor. An ideal ohmic contact must have no effect on device performance, i.e., it must be capable of delivering the required current with no voltage drop between the semiconductor and the metal. In real life, therefore, an ohmic contact must have a contact resistance that is as small as possible, to make it negligible in comparison to the bulk or spreading resistance of the semiconductor. 43

44 Materials which form ohmic contact to Silicon Semiconductor Si Bandgap Energy Type Contact Material Technique(s) Alloy Temp n,p CoSi2 Direct Reaction* n,p TiSi2 Direct Reaction* n,p WSi2 Direct Reaction* n,p TaSi2 Direct Reaction* n,p PtSi Direct Reaction* n,p Al Evaporation 1.12 n (1% Sb) Evaporation 44

45 Barrier Metal A barrier metal is a material used in integrated circuits to chemically isolate semiconductors from soft metal interconnects, while maintaining an electrical connection between them. For instance, a layer of barrier metal must surround every copper interconnection in modern copperbased chips, to prevent diffusion of copper into surrounding materials. As the name implies, a barrier metal must have high electrical conductivity in order to maintain a good electronic contact, while maintaining a low enough copper diffusivity to chemically isolate the copper conductor from the silicon below. 45

46 CMP ChemicalMechanical Polishing Wafer carrier Slurry Polishing Table Wafer (facing down) Polishing Pad Silicon Polishing pad Oxide Slurry Closeup of wafer/pad interface 46

47 Modern CMOS Technology Followings are the typical CMOS wafer process. 47

48 Device Isolation Comparison of LOCOS and STI Comparison of below figures illustrates both similarities and the differences in LOCOS (Local Oxidation of Silicon) and STI (Shallow Trench Isolation). Both process produce thick SiO2 regions laterally isolating adjacent device structure. However, STI produces more compact structures because there is very little lateral encroachment of the isolation structure into adjacent active regions. STI is used below 0.35um process. Thermally oxidized SiO2 LOCOS CVD deposited SiO2 STI 48

49 Active Region Formation Active Region means the region where active devices such as NMOS and PMOS transistors are formed. Following slides show the wafer process using LOCOS device isolation. STI process is explained as an option process. 49

50 Following initial cleaning, an SiO2 layer is thermally grown on the silicon substrate. A Si3N4 layer then deposited by LPCVD. Photoresist is spun on the wafer to prepare for the first masking operation. Photoresist SiO2 (40nm) Si3N4 (80nm) Si, (100), P Type, 5~50 ohmcm LPCVD: Low Pressure CVD 50

51 Mask 1 patterns the photoresist. Photomask(Reticule) Photoresist (Posi( type) SiO2 (40nm) Si3N4 (80nm) Si, (100), P Type, 5~50 ohmcm 51

52 The Si3N4 layer is removed where it is not protected by the photoresist by dry etching. 52

53 After photoresist stripping, the field oxide is grown in an oxidizing ambient (1000 C 90 min. in H2O). The thickness is about 0.5um. The oxidation extends under the nitride edge because the oxidant (H2O) can diffuses sideways. Field region: Isolation regions between Active regions. 53

54 Process option for Device Isolation Shallow Trench Isolation 54

55 After Mask 1 defines the photoresist, the Si3N4, SiO2 and Si trenches are successively plasma etched to create the shallow trenches for isolation. 55

56 A thin liner oxide is thermally grown in the trenches. The nitride prevents any additional oxidation on the top surface of the wafer. 56

57 SiO2 is deposited to completely fill the trenches. This would typically requires um of SiO2 to be deposited, depending on the trench depth and geometry. 57

58 The deposited SiO2 layer is polished back using CMP to produce a planar structure. 58

59 N and P WELL Formation 59

60 Photoresist is used to mask the regions where PMOS devices will be built using Mask 2. A boron implant provides the doping for the P wells for the NMOS devices. Boron P Type Implant 60

61 Photoresist is used to mask the regions where NMOS devices will be built using Mask 3. A phosphorus implant provides the doping for the N wells for the PMOS devices. Phosphorus N Type Implant P Type Implant 61

62 A high temperature drivein (1000~1100 C and 4 to 6 hours) completes the formation of the N and P wells. Well depth will be 2 to 3 um after the wafer process finishes. N Well P Well 62

63 Gate Formation Before forming Gate oxide, channel implant ( Vth controlled implant) is done. Vth is given by the following equation where QI Q is implant dose. This equation assumes that the entire implant dose is located in the near surface region, inside the MOS channel depletion region. 63

64 After spinning photoresist on the wafer, Mask 4 is used to define the NMOS transistors. A boron implant adjusts the Nchannel Vth. Boron N Well P P Well 64

65 After spinning photoresist on the wafer, Mask 5 is used to define the PMOS transistors. A arsenic implant adjusts the Pchannel Vth. Arsenic N N Well P P Well 65

66 After etching back the thin oxide to bare silicon, the gate oxide (~10nm) is grown for the MOS transistors. N N Well P P Well 66

67 A layer of polysilicon (0.3~0.5um) is deposited. Ion implantation of phosphorus follows the deposition to heavily dope the poly. This can produce lowsheetresistance poly layers. N N Well P P Well 67

68 Photoresist is applied and Mask 6 is used to define the regions where MOS gates are located. The polysilicon layer is then etched using plasma etching. N N Well P P Well 68

69 LDD (Lightly Doped Drain) Formation Decreasing the channel length in the device to 0.5um without reducing the supply voltage 5 1 increases the average field to about 10 Vcm. This high field is large enough to cause a problems in semiconductor devices. Such problems are often called hot electron problems. Carriers at high energies can cause impact ionization which creates additional hole electron pairs. LDD structure is applied to relax the field. 69

70 Mask 7 is used to cover the PMOS devices. A phosphorus implant is used to form the LDD (extension) region in the NMOS devices. Phosphorus N N Well P P Well N Implant 70

71 Mask 8 is used to cover the NMOS devices. A boron implant is used to form the LDD (extension) region in the PMOS devices. Boron N N Well P Implant P P Well N Implant 71

72 A conformal layer of SiO2 is deposited on the wafer in preparation for sidewall spacer formation. N N Well P Implant P P Well N Implant 72

73 Etchback process After oxide is deposited, and without any mask, oxide is etched using anisotropic dry etching. Oxide at the steps remains unetched. Oxide deposition Deposition is isotropic. Anisotropic dry etching 73

74 The deposited SiO2 layer is etched back anisotropically, leaving sidewall spacers along the edges of the polysilicon. N N Well P Implant P P Well N Implant 74

75 Source/Drain Formation 75

76 After growing a thin screen oxide, photoresist is applied and Mask 9 is used to protect the PMOS transistors. An arsenic implant then forms the NMOS source and drain regions. Arsenic N N Well P Implant P P Well N+ Implant 76

77 After applying photoresist, Mask 10 is used to protect the NMOS transistors. A boron implant then forms the PMOS source and drain regions. Boron N N Well P+ Implant P P Well N+ Implant 77

78 A final hightemperature drivein (900 C, 30 min. or c, 1min.) activates all the implanted dopants and diffuses junction to their final depth. P+ N N Well P P+ N+ P Well N+ 78

79 Contact and Local Interconnection Formation 79

80 Un masked oxide etch removes the SiO2 from the device source drain regions and from the top surface of the polysilicon. N P P+ N Well P+ N+ P Well N+ 80

81 Titanium is deposited on the wafer surface by sputtering. N P P+ N Well P+ N+ P Well N+ 81

82 The titanium is reacted in an N2 ambient, forming TiSi2 where it contacts silicon or polysilicon and TiN elsewhere. TSi2 TiN N P P+ N Well P+ N+ P Well N+ 82

83 Photoresist is applied and Mask 11 is used to define the regions where TiN local interconnects will be used. The TiN is then etched. N P P+ N Well P+ N+ P Well N+ 83

84 After stripping the photoresist, a conformal SiO2 layer is deposited by LPCVD. N P P+ N Well P+ N+ P Well N+ 84

85 CMP (ChemicalMechanical Polishing) is used to polish the deposited SiO2 layer. This planarizes the wafer surface. N P P+ N Well P+ N+ P Well N+ 85

86 Photoresist is spun onto the wafer. Mask 12 is used to define the contact holes. The deposited SiO2 layer is then etched to allow connections to the silicon, polysilicon and local interconnect regions. N P P+ N Well P+ N+ P Well N+ 86

87 A thin TiN barrier/adhesion layer is deposited on the wafer by sputtering, followed by deposition of a W layer by CVD. W TiN barrier/adhesion layer N P P+ N Well P+ N+ P Well N+ 87

88 CMP is used to polish back the W and TiN layers, leaving a planar surface on which the first level of metal can be deposited. N P P+ N Well P+ N+ P Well N+ 88

89 Aluminum is deposited on the wafer by sputtering. Photoresist is spun on the wafer and Mask 13 is used to define the first level of metal. The Al is then plasma etched. Aluminum N P P+ N Well P+ N+ P Well N+ 89

90 The steps to form the second level of Al interconnect follow those in previous slides from P Mask14 is used to define via holes between metal1 and metal2. Mask15 is used to define metal2. N P P+ N Well P+ N+ P Well N+ 90

91 The last step in the process is deposition of a final passivation layer, usually Si3N4 deposited by PECVD. The last Mask16 is used to open holes in this layer over the bonding pads (not shown). N P P+ N Well P+ N+ P Well N+ 91

92 The advantage of Cu wiring The advantages of Cu wiring over Aluminum are as follows. 1.Lower resistivity than Aluminum. (Al:2.8u ohm cm, Cu:1.7u ohm cm) Can be thinner than Al with the same resistance, which reduces capacitance. 2.Higher melting point and less electromigration. 3.Less stressmigration. 92

93 The advantage of Cu wiring Continued The disadvantages 1.Cu is one of the harmful elements to Si and SiO2. 2.Cannot be deposited by CVD. 3.Cannot be dryetched. 4.Weak cohesion to SiO2 5. TDDB lifetime is shorter than Al and W. (TDDB:Time Dependence on Dielectric Breakdown ) Damascene process is the best one for Cu. 93

94 Metal1 formation Damascene process Interlayer dielectric (IDL), insulator between metal is deposited. IDL is polished. Metal1 1 Photo is done and IDL is etched. Barrier metal (not shown) are deposited. N P P+ N Well P+ N+ P Well N+ 94

95 Cu seed is sputtered, then plated to fill the recess. Cu is polished back using CMP. Metal 1 N P P+ N Well P+ N+ P Well N+ 95

96 Metal2 formation Dual Damascene process Insulator, Etching stopper and insulator between Metal1 and Metal2 are deposited. Via1 is opened. N P P+ N Well P+ N+ P Well N+ 96

97 Metal2 photo is done and insulator is etched. N P P+ N Well P+ N+ P Well N+ 97

98 Barrier metal is deposited (not drawn). Cu (seed) is sputtered and plated to fill the recess. Cu is polished back by using CMP. Via and Metal recess formation is called Dual damascene process. N P P+ N Well P+ N+ P Well N+ 98

99 Comparison of wiring structure P+ N N Well P+ N+ P P Well N+ P+ N P N Well P+ N+ P Well N+ 99

100 Complete Cross Section 100

101 Gate Gate Drain Source Drain Source S Sub (N WELL) G D S Sub (P WELL) G D N P P+ N Well P+ N+ P Well N+ PMOS NMOS 101

102 Reference SILICON VLSI TECHNOLOGY Fundamentals, Practice and Modeling By J.D. Plummer, M.D. Deal and P.B. Griffin Prentice Hall,

103 2006. Renesas Technology Corp., All rights reserved.

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI CMOS Technology Flow varies with process types & company N-Well CMOS Twin-Well CMOS STI Start with substrate selection Type: n or p Doping level, resistivity Orientation, 100, or 101, etc Other parameters

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

Semiconductor Manufacturing Technology. IC Fabrication Process Overview Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 00 by Prentice Hall Chapter 9 IC Fabrication Process Overview /4 Objectives After studying the material in this chapter, you

More information

Czochralski Crystal Growth

Czochralski Crystal Growth Czochralski Crystal Growth Crystal Pulling Crystal Ingots Shaping and Polishing 300 mm wafer 1 2 Advantage of larger diameter wafers Wafer area larger Chip area larger 3 4 Large-Diameter Wafer Handling

More information

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation. Figure 2.1 (p. 58) Basic fabrication steps in the silicon planar process: (a) oxide formation, (b) selective oxide removal, (c) deposition of dopant atoms on wafer, (d) diffusion of dopant atoms into exposed

More information

VLSI Digital Systems Design

VLSI Digital Systems Design VLSI Digital Systems Design CMOS Processing cmpe222_03process_ppt.ppt 1 Si Purification Chemical purification of Si Zone refined Induction furnace Si ingot melted in localized zone Molten zone moved from

More information

Chapter 3 CMOS processing technology

Chapter 3 CMOS processing technology Chapter 3 CMOS processing technology (How to make a CMOS?) Si + impurity acceptors(p-type) donors (n-type) p-type + n-type => pn junction (I-V) 3.1.1 (Wafer) Wafer = A disk of silicon (0.25 mm - 1 mm thick),

More information

Chapter 4 : ULSI Process Integration (0.18 m CMOS Process)

Chapter 4 : ULSI Process Integration (0.18 m CMOS Process) Chapter : ULSI Process Integration (0.8 m CMOS Process) Reference. Semiconductor Manufacturing Technology : Michael Quirk and Julian Serda (00). - (00). Semiconductor Physics and Devices- Basic Principles(/e)

More information

Lecture #18 Fabrication OUTLINE

Lecture #18 Fabrication OUTLINE Transistors on a Chip Lecture #18 Fabrication OUTLINE IC Fabrication Technology Introduction the task at hand Doping Oxidation Thin-film deposition Lithography Etch Lithography trends Plasma processing

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 9/13/2007 Fabrication Technology Lecture 1 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world)

More information

CHAPTER - 4 CMOS PROCESSING TECHNOLOGY

CHAPTER - 4 CMOS PROCESSING TECHNOLOGY CHAPTER - 4 CMOS PROCESSING TECHNOLOGY Samir kamal Spring 2018 4.1 CHAPTER OBJECTIVES 1. Introduce the CMOS designer to the technology that is responsible for the semiconductor devices that might be designed

More information

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley Microstructure of Electronic Materials Amorphous materials Single-Crystal Material 1 The Si Atom The Si Crystal diamond structure High-performance semiconductor devices require defect-free crystals 2 Crystallographic

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time Etching Dry etch (anisotropic) SiO

More information

Chapter 2 Manufacturing Process

Chapter 2 Manufacturing Process Digital Integrated Circuits A Design Perspective Chapter 2 Manufacturing Process 1 CMOS Process 2 CMOS Process (n-well) Both NMOS and PMOS must be built in the same silicon material. PMOS in n-well NMOS

More information

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS Contents: VI Sem ECE 06EC63: Analog and Mixed Mode VLSI Design PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS 1. Introduction 2. CMOS Fabrication 3. Simplified View of Fabrication Process 3.1 Alternative

More information

EE 143 CMOS Process Flow

EE 143 CMOS Process Flow EE 143 CMOS rocess Flow CT 84 D D G Sub G Sub S S G D S G D S + + + + - MOS Substrate Well - MOS Substrate EE 143 CMOS rocess Flow CT 85 hotoresist Si 3 4 SiO 2 Substrate selection: moderately high resistivity,

More information

Lecture 22: Integrated circuit fabrication

Lecture 22: Integrated circuit fabrication Lecture 22: Integrated circuit fabrication Contents 1 Introduction 1 2 Layering 4 3 Patterning 7 4 Doping 8 4.1 Thermal diffusion......................... 10 4.2 Ion implantation.........................

More information

Microfabrication of Integrated Circuits

Microfabrication of Integrated Circuits Microfabrication of Integrated Circuits OUTLINE History Basic Processes Implant; Oxidation; Photolithography; Masks Layout and Process Flow Device Cross Section Evolution Lecture 38, 12/05/05 Reading This

More information

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther EECS 40 Spring 2003 Lecture 19 Microfabrication 4/1/03 Prof. ndy Neureuther How are Integrated Circuits made? Silicon wafers Oxide formation by growth or deposition Other films Pattern transfer by lithography

More information

Complexity of IC Metallization. Early 21 st Century IC Technology

Complexity of IC Metallization. Early 21 st Century IC Technology EECS 42 Introduction to Digital Electronics Lecture # 25 Microfabrication Handout of This Lecture. Today: how are Integrated Circuits made? Silicon wafers Oxide formation by growth or deposition Other

More information

EE 330 Lecture 9. IC Fabrication Technology Part 2

EE 330 Lecture 9. IC Fabrication Technology Part 2 EE 330 Lecture 9 IC Fabrication Technology Part 2 Quiz 8 A 2m silicon crystal is cut into wafers using a wire saw. If the wire diameter is 220um and the wafer thickness is 350um, how many wafers will this

More information

Fabrication and Layout

Fabrication and Layout ECEN454 Digital Integrated Circuit Design Fabrication and Layout ECEN 454 3.1 A Glimpse at MOS Device Polysilicon Aluminum ECEN 475 4.2 1 Material Classification Insulators Glass, diamond, silicon oxide

More information

The Physical Structure (NMOS)

The Physical Structure (NMOS) The Physical Structure (NMOS) Al SiO2 Field Oxide Gate oxide S n+ Polysilicon Gate Al SiO2 SiO2 D n+ L channel P Substrate Field Oxide contact Metal (S) n+ (G) L W n+ (D) Poly 1 3D Perspective 2 3 Fabrication

More information

ECE520 VLSI Design. Lecture 7: CMOS Manufacturing Process. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 7: CMOS Manufacturing Process. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 7: CMOS Manufacturing Process Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Review of Last

More information

Introduction to CMOS VLSI Design. Layout, Fabrication, and Elementary Logic Design

Introduction to CMOS VLSI Design. Layout, Fabrication, and Elementary Logic Design Introduction to CMOS VLSI Design Layout, Fabrication, and Elementary Logic Design CMOS Fabrication CMOS transistors are fabricated on silicon wafer Lithography process similar to printing press On each

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time IC Fabrication Technology Crystal Preparation

More information

Make sure the exam paper has 9 pages total (including cover page)

Make sure the exam paper has 9 pages total (including cover page) UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Fall 2010 EE143 Midterm Exam #2 Family Name First name SID Signature Solution Make sure the exam

More information

Fabrication Process. Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation CONCORDIA VLSI DESIGN LAB

Fabrication Process. Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation CONCORDIA VLSI DESIGN LAB Fabrication Process Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation 1 Fabrication- CMOS Process Starting Material Preparation 1. Produce Metallurgical Grade Silicon

More information

EE 330 Lecture 8. IC Fabrication Technology Part II. - Oxidation - Epitaxy - Polysilicon - Interconnects

EE 330 Lecture 8. IC Fabrication Technology Part II. - Oxidation - Epitaxy - Polysilicon - Interconnects EE 330 Lecture 8 IC Fabrication Technology Part II - Oxidation - Epitaxy - Polysilicon - Interconnects Review from Last Time MOS Transistor Bulk Source Gate Drain p-channel MOSFET Lightly-doped n-type

More information

ECE321 Electronics I

ECE321 Electronics I ECE321 Electronics I Lecture 19: CMOS Fabrication Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Tuesday 2:00-3:00PM or by appointment E-mail: payman@ece.unm.edu Slide: 1 Miller Effect Interconnect

More information

Chapter 5 Thermal Processes

Chapter 5 Thermal Processes Chapter 5 Thermal Processes 1 Topics Introduction Hardware Oxidation Diffusion Annealing Post-Implantation Alloying Reflow High Temp CVD Epi Poly Silicon Nitride RTP RTA RTP Future Trends 2 Definition

More information

Department of Electrical Engineering. Jungli, Taiwan

Department of Electrical Engineering. Jungli, Taiwan Chapter 3 Fabrication of CMOS Integrated Circuits Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Background Outline The CMOS Process Flow Design Rules Latchup

More information

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica Microelettronica Planar Technology for Silicon Integrated Circuits Fabrication 26/02/2017 A. Neviani - Microelettronica Introduction Simplified crosssection of an nmosfet and a pmosfet Simplified crosssection

More information

Process Integration. NMOS Generic NMOS Process Flow. CMOS - The MOSIS Process Flow

Process Integration. NMOS Generic NMOS Process Flow. CMOS - The MOSIS Process Flow Process Integration Self-aligned Techniques LOCOS- self-aligned channel stop Self-aligned Source/Drain Lightly Doped Drain (LDD) Self-aligned silicide (SALICIDE) Self-aligned oxide gap MEMS Release Techniques

More information

Process Integration. MEMS Release Techniques Sacrificial Layer Removal Substrate Undercut

Process Integration. MEMS Release Techniques Sacrificial Layer Removal Substrate Undercut Process Integration Self-aligned Techniques LOCOS- self-aligned channel stop Self-aligned Source/Drain Lightly Doped Drain (LDD) Self-aligned silicide (SALICIDE) Self-aligned oxide gap MEMS Release Techniques

More information

CS/ECE 5710/6710. N-type Transistor. N-type from the top. Diffusion Mask. Polysilicon Mask. CMOS Processing

CS/ECE 5710/6710. N-type Transistor. N-type from the top. Diffusion Mask. Polysilicon Mask. CMOS Processing CS/ECE 5710/6710 CMOS Processing Addison-Wesley N-type Transistor D G +Vgs + Vds S N-type from the top i electrons - Diffusion Mask Mask for just the diffused regions Top view shows patterns that make

More information

CMOS Manufacturing process. Design rule set

CMOS Manufacturing process. Design rule set CMOS Manufacturing process Circuit design Set of optical masks Fabrication process Circuit designer Design rule set Process engineer All material: Chap. 2 of J. Rabaey, A. Chandrakasan, B. Nikolic, Digital

More information

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) contents UNIT I INTRODUCTION: Introduction to IC Technology MOS, PMOS, NMOS, CMOS & BiCMOS technologies. BASIC ELECTRICAL PROPERTIES : Basic Electrical

More information

EE CMOS TECHNOLOGY- Chapter 2 in the Text

EE CMOS TECHNOLOGY- Chapter 2 in the Text 1 EE 212 FALL 1999-00 CMOS TECHOLOGY- Chapter 2 in the Text In this set of notes we will describe a modern CMOS process flow. In the simplest CMOS technologies, we need to realize simply MOS and MOS transistors

More information

Fabrication Technology

Fabrication Technology Fabrication Technology By B.G.Balagangadhar Department of Electronics and Communication Ghousia College of Engineering, Ramanagaram 1 OUTLINE Introduction Why Silicon The purity of Silicon Czochralski

More information

Chapter 4. UEEP2613 Microelectronic Fabrication. Oxidation

Chapter 4. UEEP2613 Microelectronic Fabrication. Oxidation Chapter 4 UEEP2613 Microelectronic Fabrication Oxidation Prepared by Dr. Lim Soo King 24 Jun 2012 Chapter 4...113 Oxidation...113 4.0 Introduction... 113 4.1 Chemistry of Silicon Dioxide Formation... 115

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction Integrated circuits: many transistors on one chip. Very Large Scale Integration (VLSI): bucketloads! Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

Mostafa Soliman, Ph.D. May 5 th 2014

Mostafa Soliman, Ph.D. May 5 th 2014 Mostafa Soliman, Ph.D. May 5 th 2014 Mostafa Soliman, Ph.D. 1 Basic MEMS Processes Front-End Processes Back-End Processes 2 Mostafa Soliman, Ph.D. Wafers Deposition Lithography Etch Chips 1- Si Substrate

More information

3. Photolithography, patterning and doping techniques. KNU Seminar Course 2015 Robert Mroczyński

3. Photolithography, patterning and doping techniques. KNU Seminar Course 2015 Robert Mroczyński 3. Photolithography, patterning and doping techniques KNU Seminar Course 2015 Robert Mroczyński Critical technology processes Photolithography The aim of this process is to transfer (in the most accurate

More information

Complementary Metal-Oxide-Semiconductor Very Large-Scale Integrated Circuit Design

Complementary Metal-Oxide-Semiconductor Very Large-Scale Integrated Circuit Design Complementary Metal-Oxide-Semiconductor Very Large-Scale Integrated Circuit Design Bradley A. Minch Mixed Analog-Digital VLSI Circuits and Systems Lab Cornell University Ithaca, NY 14853 5401 minch@ece.cornell.edu

More information

Chapter 2 MOS Fabrication Technology

Chapter 2 MOS Fabrication Technology Chapter 2 MOS Fabrication Technology Abstract This chapter is concerned with the fabrication of metal oxide semiconductor (MOS) technology. Various processes such as wafer fabrication, oxidation, mask

More information

EE 5344 Introduction to MEMS. CHAPTER 3 Conventional Si Processing

EE 5344 Introduction to MEMS. CHAPTER 3 Conventional Si Processing 3. Conventional licon Processing Micromachining, Microfabrication. EE 5344 Introduction to MEMS CHAPTER 3 Conventional Processing Why silicon? Abundant, cheap, easy to process. licon planar Integrated

More information

Lecture 1A: Manufacturing& Layout

Lecture 1A: Manufacturing& Layout Introduction to CMOS VLSI Design Lecture 1A: Manufacturing& Layout David Harris Harvey Mudd College Spring 2004 Steven Levitan Fall 2008 1 The Manufacturing Process For a great tour through the IC manufacturing

More information

Semiconductor Technology

Semiconductor Technology Semiconductor Technology von A bis Z Metallization www.halbleiter.org Contents Contents List of Figures List of Tables II III 1 Metallization 1 1.1 Requirements on metallization........................

More information

INTEGRATED-CIRCUIT TECHNOLOGY

INTEGRATED-CIRCUIT TECHNOLOGY INTEGRATED-CIRCUIT TECHNOLOGY 0. Silicon crystal growth and wafer preparation 1. Processing Steps 1.1. Photolitography 1.2. Oxidation 1.3. Layer Deposition 1.4. Etching 1.5. Diffusion 1.6 Backend: assembly,

More information

EE 434 Lecture 9. IC Fabrication Technology

EE 434 Lecture 9. IC Fabrication Technology EE 434 Lecture 9 IC Fabrication Technology Quiz 7 The layout of a film resistor with electrodes A and B is shown. If the sheet resistance of the film is 40 /, determine the resistance between nodes A and

More information

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University 2014 Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University Page1 Syllabus UNIT 1 Introduction to VLSI Technology: Classification of ICs, Scale of integration,

More information

CMOS Fabrication. Dr. Bassam Jamil. Adopted from slides of the textbook

CMOS Fabrication. Dr. Bassam Jamil. Adopted from slides of the textbook CMOS Fabrication Dr. Bassam Jamil Adopted from slides of the textbook CMOS Fabrication CMOS transistors are fabricated on silicon wafer Lithography process similar to printing press On each step, different

More information

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process Chapter 3 A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process 3.1 Introduction Low-temperature poly-si (LTPS) TFTs

More information

Lect. 2: Basics of Si Technology

Lect. 2: Basics of Si Technology Unit processes Thin Film Deposition Etching Ion Implantation Photolithography Chemical Mechanical Polishing 1. Thin Film Deposition Layer of materials ranging from fractions of nanometer to several micro-meters

More information

Review of CMOS Processing Technology

Review of CMOS Processing Technology - Scaling and Integration Moore s Law Unit processes Thin Film Deposition Etching Ion Implantation Photolithography Chemical Mechanical Polishing 1. Thin Film Deposition Layer of materials ranging from

More information

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS AND FABRICATION ENGINEERING ATTHE MICRO- NANOSCALE Fourth Edition STEPHEN A. CAMPBELL University of Minnesota New York Oxford OXFORD UNIVERSITY PRESS CONTENTS Preface xiii prrt i OVERVIEW AND MATERIALS

More information

2. Fabrication techniques. KNU Seminar Course 2015 Robert Mroczyński

2. Fabrication techniques. KNU Seminar Course 2015 Robert Mroczyński 2. Fabrication techniques KNU Seminar Course 2015 Robert Mroczyński Technological layers used in the course of the IC fabrication Semiconductors Fundamental part of each IC, active material of semiconductor

More information

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules 2. CMOS Fabrication, Layout, Design Rules Last module: Introduction to the course How a transistor works CMOS transistors This module: CMOS Fabrication Design Rules CMOS Fabrication CMOS transistors are

More information

VLSI. Lecture 1. Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University. Based on slides of David Money Harris

VLSI. Lecture 1. Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University. Based on slides of David Money Harris VLSI Lecture 1 Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University Based on slides of David Money Harris Goals of This Course Learn the principles of VLSI design Learn to design

More information

EE 330 Lecture 12. Devices in Semiconductor Processes

EE 330 Lecture 12. Devices in Semiconductor Processes EE 330 Lecture 12 Devices in Semiconductor Processes Review from Lecture 9 Copper Interconnects Limitations of Aluminum Interconnects Electromigration Conductivity not real high Relevant Key Properties

More information

Complementary Metal Oxide Semiconductor (CMOS)

Complementary Metal Oxide Semiconductor (CMOS) Technische Universität Graz Institute of Solid State Physics Complementary Metal Oxide Semiconductor (CMOS) Franssila: Chapters 26,28 Technische Universität Graz Institute of Solid State Physics Complementary

More information

9/4/2008 GMU, ECE 680 Physical VLSI Design

9/4/2008 GMU, ECE 680 Physical VLSI Design ECE680: Physical VLSI Design Chapter II CMOS Manufacturing Process 1 Dual-Well Trench-Isolated CMOS Process gate-oxide TiSi 2 AlCu Tungsten SiO 2 p-well poly n-well SiO 2 n+ p-epi p+ p+ 2 Schematic Layout

More information

IC/MEMS Fabrication - Outline. Fabrication

IC/MEMS Fabrication - Outline. Fabrication IC/MEMS Fabrication - Outline Fabrication overview Materials Wafer fabrication The Cycle: Deposition Lithography Etching Fabrication IC Fabrication Deposition Spin Casting PVD physical vapor deposition

More information

Manufacturing Process

Manufacturing Process Manufacturing Process 1 CMOS Process 2 A Modern CMOS Process gate-oxide TiSi 2 AlCu Tungsten SiO 2 n+ p-well p-epi poly n-well p+ SiO 2 p+ Dual-Well Trench-Isolated CMOS Process 3 Single-crystal ingot

More information

Technology. Semiconductor Manufacturing. Hong Xiao INTRODUCTION TO SECOND EDITION SPIE PRESS

Technology. Semiconductor Manufacturing. Hong Xiao INTRODUCTION TO SECOND EDITION SPIE PRESS INTRODUCTION TO Semiconductor Manufacturing Technology SECOND EDITION Hong Xiao TECHNISCHE INFORMATIONSBiBUOTHEK UNIVERSITATSBIBLIOTHEK HANNOVER SPIE PRESS Bellingham,Washington USA Contents Preface to

More information

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width Microelectronics Introduction to the IC technology M.Rencz 11 September, 2002 9/16/02 1/37 Integrated circuits Development is controlled by the roadmaps. Self-fulfilling predictions for the tendencies

More information

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Metallization deposition and etching. Material mainly taken from Campbell, UCCS Metallization deposition and etching Material mainly taken from Campbell, UCCS Application Metallization is back-end processing Metals used are aluminum and copper Mainly involves deposition and etching,

More information

CMOS VLSI Design. Introduction. All materials are from the textbook Weste and Harris, 3 rd Edition CMOS VLSI DESIGN. Introduction

CMOS VLSI Design. Introduction. All materials are from the textbook Weste and Harris, 3 rd Edition CMOS VLSI DESIGN. Introduction CMOS VLSI Design Introduction ll materials are from the textbook Weste and Harris, 3 rd Edition CMOS VLSI DESIGN Introduction Chapter previews the entire field, subsequent chapters elaborate on specific

More information

Silicon Manufacturing

Silicon Manufacturing Silicon Manufacturing Group Members Young Soon Song Nghia Nguyen Kei Wong Eyad Fanous Hanna Kim Steven Hsu th Fundamental Processing Steps 1.Silicon Manufacturing a) Czochralski method. b) Wafer Manufacturing

More information

Semiconductor Technology

Semiconductor Technology Semiconductor Technology from A to Z Oxidation www.halbleiter.org Contents Contents List of Figures List of Tables II III 1 Oxidation 1 1.1 Overview..................................... 1 1.1.1 Application...............................

More information

ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline:

ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline: ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline: Fabrication of p-n junctions Contact Potential Things you should know when you leave Key Questions What are the necessary steps to fabricate

More information

Chapter 2 Problems. The CMOS technology we need to realize is shown below, from Figure 1-34 in the text. S P + N P + N WELL P +

Chapter 2 Problems. The CMOS technology we need to realize is shown below, from Figure 1-34 in the text. S P + N P + N WELL P + Chapter 2 roblems 2.1 Sketch a process flow that would result in the structure shown in Figure 1-34 by drawing a series of drawings similar to those in this chapter. You only need to describe the flow

More information

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook:

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook: HOMEWORK 4 and 5 March 15, 2009 Homework is due on Monday March 30, 2009 in Class. Chapter 7 Answer the following questions from the Course Textbook: 7.2, 7.3, 7.4, 7.5, 7.6*, 7.7, 7.9*, 7.10*, 7.16, 7.17*,

More information

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1 Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1 LECTURE 030 INTEGRATED CIRCUIT TECHNOLOGY - I (References [7,8]) Objective The objective of this presentation is: 1.) Illustrate integrated

More information

Most semiconductor devices contain at least one junction between p-type and n-type material. These p-n junctions are fundamental to the performance

Most semiconductor devices contain at least one junction between p-type and n-type material. These p-n junctions are fundamental to the performance Ch. 5: p-n Junction Most semiconductor devices contain at least one junction between p-type and n-type material. These p-n junctions are fundamental to the performance of functions such as rectification,

More information

Isolation Technology. Dr. Lynn Fuller

Isolation Technology. Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Isolation Technology Dr. Lynn Fuller Motorola Professor 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Fax (585) 475-5041

More information

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag FABRICATION OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Overview of CMOS Fabrication Processes The CMOS Fabrication Process Flow Design Rules EE 432 VLSI Modeling and Design 2 CMOS Fabrication

More information

Physical Vapor Deposition (PVD) Zheng Yang

Physical Vapor Deposition (PVD) Zheng Yang Physical Vapor Deposition (PVD) Zheng Yang ERF 3017, email: yangzhen@uic.edu Page 1 Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide

More information

VLSI Technology. By: Ajay Kumar Gautam

VLSI Technology. By: Ajay Kumar Gautam By: Ajay Kumar Gautam Introduction to VLSI Technology, Crystal Growth, Oxidation, Epitaxial Process, Diffusion Process, Ion Implantation, Lithography, Etching, Metallization, VLSI Process Integration,

More information

VLSI Design and Simulation

VLSI Design and Simulation VLSI Design and Simulation CMOS Processing Technology Topics CMOS Processing Technology Semiconductor Processing How do we make a transistor? Fabrication Process Wafer Processing Silicon single crystal

More information

Schematic creation of MOS field effect transistor.

Schematic creation of MOS field effect transistor. Schematic creation of MOS field effect transistor. Gate electrode Drain electrode Source electrode Gate oxide Gate length Page 1 Step 0 The positively doped silicon wafer is first coated with an insulating

More information

Doping and Oxidation

Doping and Oxidation Technische Universität Graz Institute of Solid State Physics Doping and Oxidation Franssila: Chapters 13,14, 15 Peter Hadley Technische Universität Graz Institute of Solid State Physics Doping Add donors

More information

FABRICATION of MOSFETs

FABRICATION of MOSFETs FABRICATION of MOSFETs CMOS fabrication sequence -p-type silicon substrate wafer -creation of n-well regions for pmos transistors, -impurity implantation into the substrate. -thick oxide is grown in the

More information

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in Chapter 5 PROCESSING OF DEVICES A discussion of crystal growth, lithography, etching, doping, and device structures is presented in the following overview gures. SEMICONDUCTOR DEVICE PROCESSING: AN OVERVIEW

More information

Lecture 2: CMOS Fabrication Mark McDermott Electrical and Computer Engineering The University of Texas at Austin

Lecture 2: CMOS Fabrication Mark McDermott Electrical and Computer Engineering The University of Texas at Austin Lecture 2: CMOS Fabrication Mark McDermott Electrical and Computer Engineering The University of Texas at Austin Agenda Last module: Introduction to the course How a transistor works CMOS transistors This

More information

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing ELEC 3908, Physical Electronics, Lecture 4 Basic Integrated Circuit Processing Lecture Outline Details of the physical structure of devices will be very important in developing models for electrical behavior

More information

EE 330 Lecture 8. IC Fabrication Technology Part II. - Masking - Photolithography - Deposition - Etching - Diffusion

EE 330 Lecture 8. IC Fabrication Technology Part II. - Masking - Photolithography - Deposition - Etching - Diffusion EE 330 Lecture 8 IC Fabrication Technology Part II?? - Masking - Photolithography - Deposition - Etching - Diffusion Review from Last Time Technology Files Provide Information About Process Process Flow

More information

Interconnects OUTLINE

Interconnects OUTLINE Interconnects 1 Interconnects OUTLINE 1. Overview of Metallization 2. Introduction to Deposition Methods 3. Interconnect Technology 4. Contact Technology 5. Refractory Metals and their Silicides Reading:

More information

Fairchild Semiconductor Application Note June 1983 Revised March 2003

Fairchild Semiconductor Application Note June 1983 Revised March 2003 Fairchild Semiconductor Application Note June 1983 Revised March 2003 High-Speed CMOS (MM74HC) Processing The MM74HC logic family achieves its high speed by utilizing microcmos Technology. This is a 3.5

More information

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant substrate Creates latch up protection for CMOS Buried Epi

More information

EE 143 FINAL EXAM NAME C. Nguyen May 10, Signature:

EE 143 FINAL EXAM NAME C. Nguyen May 10, Signature: INSTRUCTIONS Read all of the instructions and all of the questions before beginning the exam. There are 5 problems on this Final Exam, totaling 143 points. The tentative credit for each part is given to

More information

Wafer (1A) Young Won Lim 4/30/13

Wafer (1A) Young Won Lim 4/30/13 Copyright (c) 2011-2013 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published

More information

Chemical Vapor Deposition

Chemical Vapor Deposition Chemical Vapor Deposition ESS4810 Lecture Fall 2010 Introduction Chemical vapor deposition (CVD) forms thin films on the surface of a substrate by thermal decomposition and/or reaction of gas compounds

More information