Microtexture measurement of copper damascene line with EBSD

Size: px
Start display at page:

Download "Microtexture measurement of copper damascene line with EBSD"

Transcription

1 Material Science Forum Vols (2002) pp Trans Tech Publications, Switzerland Microtexture measurement of copper damascene line with EBSD Dong-Ik Kim 1*, Jong-Min Paik 1, Young-Chang Joo 1, Kyu Hwan Oh 1, Hu-Chul Lee 1, Keith Dicks 2 1 School of Materials Science & Eng, Seoul National Univ. ENG445, San 56-1, Shilim-dong, Kwanak-ku, Seoul , Korea 2 Oxford Instruments Analytical, Halifax Road, High Wycombe, Bucks, HP12 3SE, UK Keywords: EBSD, Copper, damascene, microtexture, coating Abstract. Microtexture of 0.18µm, 0.25µm, 0.70µm, and 2µm widths Cu damascene line is measured by EBSD technique. The image drift could be reduced by the shortening of measurement time, and could be completely removed by selected area mapping technique. Using carbon and gold dual layer coating method, image drift and pattern indexing fraction is improved but the EBSD pattern quality is decreased. By increasing the accelerating voltage from 15kV to 20kV, pattern quality loss could be compensated without resolution loss. Strong {111} texture is obtained in 0.18 and 0.25µm line, and weak {111} texture is observed in 2µm line. And every line was observed to have more than 60% CSL boundaries. Introduction Aluminium has been used for several decades for IC interconnects, and many interconnecting fabrication methods such as metal deposition, photolithographic patterning, subtractive line definition through reactive ion etching and dielectric deposition have been developed. 1 As the faster IC chip be developed, the more transistors are to be integrated in the same area, and the smaller width in interconnect lines is demanded. Copper which has lower bulk resistivity and high melting point compared to aluminium was actively studied to substitute aluminium for interconnect materials, and the damascene-processing method has been developed for interconnects with line width less than 0.25µm. 1 It is well known that the aluminium interconnects with a bamboo grain structure and strong {111} texture are good for the withstanding of electromigration (EM) under high current densities, and that high special boundary fractions also increase EM life time. 2-3 By EBSD, both texture and microstructural information can be obtained simultaneously and grain boundary characteristics can be analyzed at the same time. Several EBSD investigations have been performed on copper interconnects. 2,4,5 But there are few EBSD studies reporting copper interconnects with line widths less than 0.25µm. An EBSD system equipped Field Emission Gun (FEG) SEM has the resolution under 20nm, 6 easily capable of measuring the texture of the interconnect lines of the width previously mentioned. However, image drift becomes significant at the high magnification required and further, the proportion of indexed patterns decreases appreciably when the line width is less than 0.25µm. This paper focuses on the EBSD data variations according to experimental conditions such as sample preparation technique, sample coating method, probe current, and accelerating voltage, and briefly refers the texture and grain boundary characteristics variations according to interconnects line width change. * Corresponding author. Tel : , Fax : address : ggam@plaza1.snu.ac.kr

2 530 Texture of Materials Experimental Details Copper lines for this study were fabricated by damascene process in PETEOS oxide having various widths ranging from 0.18 to 2µm and trench depth of 0.5 µm. 400Å thick TaN film was used as the Cu barrier layer. Overlayers consist of 7000Å thick SiN film and the same thickness of silicon oxide film, which was removed for EBSD analysis using dilute HF solution. Some samples are coated by gold and carbon dual layer coating technique, which is described in Fig. 1. At first, the sample was coated by gold with ion sputter coater to prevent charge build up at the specimen surface. In this case the thickness of coated layer usually exceeds 500Å, so the interested area for the EBSD experiment should be protected by cover. Then the specimen is coated by thin layer of carbon to prevent inhomogeneity at the gold coated and uncoated interface. EIKO IB-3 ion sputter coater is used for the gold coating for 10 minutes, and Cressington 108 carbon coater is used for carbon coating at 4V for 1sec. It is important that the thickness of carbon coating should not exceed 10nm, to minimize the decrease of back scattered electron intensity. Fig. 1. Gold and carbon dual layer coating to prevent image drift and improve pattern indexing fraction a) Copper damascene line specimen, b) Thick Au coating with disk cover, c) Thin carbon coating for whole specimen In this study, JEOL 6500F Schottky type FEG-SEM equipped with Oxford INCA Crystal EBSD system was used. EBSD experiments were carried out at 15kV accelerating voltage and 5nA prove current and the accelerating voltage was raised up to 20kV to compensate the pattern quality degradation by carbon coating, and Kikuchi patterns were integrated for 80ms in each analysis point. Grain boundary characteristics and grain size of copper grains were also investigated by INCA crystal software. The coating technique used greatly enhanced charge dissipation. However, despite the coating, charge accumulation during examination still lead to image drift at the high magnification required for this examination. The charging was brought under control by using a feature of the INCA Crystal software controlling EBSD acquisition and beam positioning. Selected areas were defined to produce crystal orientation maps (COMs). Multiple fields were defined such that the areas chosen to map only covered the metallic tracks, and not the substrate between tracks. In this manner the beam was prevented from dwelling on the substrate and confined to the areas of interest only. Thus charge build up in the vicinity of the copper tracks was minimized. This technique was combined with high speed acquisition to effectively overcome image drift.

3 Materials Science Forum Vols Results and Discussion Controlling image drift during EBSD acquisition at high magnification with multiple phase specimens is critical. Each phase may have different electrical conductivity. Because of this, different levels of charge can accumulate at the interface between phases. The amount of charge built up is dependant on the difference in conductivity of each phase. In turn, the amount of charge built up controls the extent of image drift. The copper damascene line surface consists of the three phases of copper, tantalum nitride barrier, and silicon oxide. The conductivity of each is quite different. Consequently, it is common to encounter image drift at magnifications in excess of X10,000 on these samples. The charging, and drift, is exacerbated if higher beam current is used. It may be desirable to use higher beam current in the interest of enhancing pattern quality. Experimentation was required to find the optimum conditions. Figure 2a shows the image drift during EBSD acquisition on 700nm wide copper tracks acquired under typical conditions i.e. 15kV accelerating voltage, 5nA probe current, 256 steps per horizontal scan. Significant image drift is evident and it is difficult to compare the SE image and the crystal orientation mapping (COM) results. Figure 2b shows a comparative reduction in image drift achieved by reducing the total mapping time, and thus exposure of the sample to the electron beam. However, despite an increase of magnification from 20,000 to 30,000 and a reduction of the number of mapped points (128 steps per horizontal scan), image drift, although reduced, remains. The observed (reduced) degree of image drift is most probably a product of the reduced acquisition time rather than due to any reduction in the drift rate. Fig 2c shows the complete prevention of image drift by using selected area mapping. Confining the electron beam to irradiate only the copper lines prevents charge build-up at the phase interfaces and thus completely eliminates image drift. In the case of crystal orientation mapping narrower copper interconnects, i.e. lines of 0.18µm and 0.25µm width, the charge build-up problem became worse. Because the widths of the Si oxide substrate and copper lines are similar, charge build-up become very significant. Further, it is challenging to obtain good SE images and EBSD patterns at such high resolution. The EBSD indexing fraction i.e. the proportion of patterns that can be solved, is directly linked to pattern quality which decreases with worsening pattern quality. Fig 3a shows the crystal orientation map data of the entire area of interest on 0.18 µm wide copper lines, acquired at 15kV accelerating voltage, 5nA prove current, and 128 steps per horizontal scan. The SE image quality is too poor to observe the surface topography of the specimen, and there is significant image drift. The EBSD pattern quality was degraded such that the reliability of the orientation information and texture calculated from COM data is in question. However, by applying the selected area mapping technique, the pattern indexing fraction is improved, but there remains a degree of image drift. The image drift in the narrowest width copper interconnects was sufficiently severe that the gold and carbon dual layer coating technique, previously described, was introduced. To prevent the charge build up on the sample surface, the incident electron should flow off readily. To increase conductivity of the specimen surface, it was gold coated for 10 minutes using an ion sputter coater. The area of interest was protected by a cover to prevent gold deposition, because the thickness of the coating layer exceeds the depth of EBSD pattern formation. By gold coating, the total amount of charge built up on the specimen was reduced, but the addition of one more phase (gold) to the specimen induced the problem of inhomogeneity at the interface of the coated and uncoated area. The whole area of the specimen was lightly carbon coated to make the whole specimen surface homogeneous. An alternative preparation method was to coat the specimen with carbon only, but light carbon coating did not prevent charge build-up completely. Thus the gold and carbon dual layer coating technique was adopted as a sample preparation method for multiple phase specimens. Figure 3b shows the orientation map acquired by selected area mapping of the 0.18 µm width copper lines with gold and carbon dual layer coating. The image drift problem is completely eliminated and pattern indexing fraction is improved by up to 70%, which is sufficient to analyze the microstructure, texture, and boundary characteristics of the specimen.

4 532 Texture of Materials Fig. 2. Image drift variation with the EBSD measurement condition in 700nm width copper damascene line. a) 24nm step mapping at x20,000 (256 step per horizontal scan), b) 32nm step mapping at x30,000 (128 step per horizontal scan), c) 32nm step mapping at x30,000 and selected area mapping Fig. 3. Orientation mapping of 0.18µm width copper interconnect line. a) Mapping of whole interested area without coating. b) Selected area mapping with gold and carbon dual layer coating

5 Materials Science Forum Vols The EBSD pattern quality is predictably decreased by carbon coating, because some backscattered electrons are captured or deflected by the coated carbon layer. If the electron accelerating voltage is increased to 20kV to raise the backscattered electron energy, and the pattern quality is restored to the level of uncoated copper lines. Resolution loss by the penetration volume extension was not observed in these experiments. There is an another important factor relating to the image drift phenomena observed during high magnification EBSD acquisition. Image drift can be caused by mechanical and electromagnetic optical instability, and time is required to stabilize the specimen stage and electromagnetic lens system for EBSD experiments over 20,000X magnification. The specimen stage is stabilized in relatively short time, 1-2 minutes, but minutes are required for the stabilization of the electromagnetic lens system. Figure 3b was acquired after 20minutes waiting time, after selecting the area of interest and setting up the experimental parameters. Grain boundaries were defined for adjacent points as having a misorientation greater than 5degrees. In 0.18µm wide lines, 7,000 crystal lattice orientations from 380 grains were investigated. Bamboo grain structure is evident with an average grain size of 193nm. In 0.25µm lines, 5800 orientations from 213 grains were collected. Again, bamboo grain structure was evident and the average grain size was 265nm. In 2µm lines, 17,000 points from 2,300 grains were investigated. In this case a polycrystalline grain structure was observed in the cross sectional direction, with an average grain size of 923nm. Texture development in the copper lines was calculated using the WIMV method. Figures 4a, b, c show texture development of interconnect lines. 0.18µm, 0.25µm lines have strong {111} texture and weak minor texture as {114} in 0.18µm and {112} in 0.25µm. 2 µm lines show relatively weak {111} texture only. This paper concentrates on the experimental technique, and consequently the number of grains analyzed does not provide a statistically significant texture evaluation. In order to achieve statistical reliability to the f(g) level calculated from an EBSD measurement, orientations from more than 600 individual grains are required. However, confirmation of the texture development characteristics requires data from only 100 grains, and this number can be decreased in the case of obtaining several orientations from each grain. 7 In this experiment, orientation information is Fig. 4. Texture and CSL boundaries fraction change of copper interconnects according to line width variation a) ODF of 0.18µm width copper interconnect, b) ODF of 0.25µm width copper interconnect, c) ODF of 2.0 µm width copper interconnect, d) CSL boundary fractions of three interconnects.

6 534 Texture of Materials collected from a minimum of 200 grains and usually orientations are measured in each grain. Therefore the texture development tendency can be considered reasonably reliable and the f(g) value is also credible in the 2µm line. The boundary characteristics of each line were investigated using the INCA Crystal software. Each copper track exhibited high fractions of special boundaries. The sigma 3 boundary fraction of 0.18µm line is 50%, which increases to 60% in 0.25 µm, and 55% in 2µm wide lines. The total special boundary fraction reaches 60% in 0.18µm, and 70% in both 0.25µm, and 2.0µm lines. Previous research on copper interconnects fabricated by the Damascene process show no close relationships between {111} texture development and the electromigration (EM) life time observed in aluminium interconnects. The fraction of special boundaries is still implicated in the EM life time of copper interconnects. 2,4,5 Samples analyzed in this experiment have different level of {111} texture, but they have a very high fraction of special boundary, and consequently good EM performance is expected. Conclusion Various EBSD experimental conditions to reduce image drift of copper interconnects fabricated by the Damascene process were investigated in this study. Shortening of measurement time and use of a selected area mapping technique reduced the image drift problem. Using carbon and gold dual layer coating, image drift was prevented and pattern indexing fraction was improved. Increasing the accelerating voltage from 15kV to 20kV compensated for the EBSD pattern quality loss caused by coating, without sacrificing resolution. Strong {111} and weak minor texture was observed in 0.18 and 0.25µm lines, and only weak {111} texture was observed in 2µm lines. All lines showed more than 60% CSL boundaries. References [1] L.Vanasupa, Y.C.Joo, P.R.Besser, and S.Pramanick : J. Appl. Phys. Vol.85(1999), p.2583 [2] D.P.Field, D.Dornisch, and H.H.Tong : Scripta Mater. Vol.45(2001), p.1069 [3] D.B.Knorr, K.P.Rodbell : J. Appl. Phys. Vol.79(1996), p.2409 [4] T.G.Koetter, H.Wendrock, H.Schuehrer, C.Wenzel, and K.Wetzig : Microelectronics Reliability Vol.40(2000), p.1295 [5] S.Baunack, T.G.Kötter, H.Wendrock, K.Wetzig : Appl. Surf. Sci. Vol.179(2001), p.245 [6] F. J. Humphreys : Proc. ICOTOM12, (1999) Montreal, ed. J.A.Szpunar, p.74 [7] O.Engler, J.Jura, S.Matthies : Proc. ICOTOM12, (1999) Montreal, ed. J.A.Szpunar, p.68

Observation in the GB (Gentle Beam) Capabilities

Observation in the GB (Gentle Beam) Capabilities A field-emission cathode in the electron gun of a scanning electron microscope provides narrower probing beams at low as well as high electron energy, resulting in both improved spatial resolution and

More information

Effect of barrier layers on the texture and microstructure of Copper films

Effect of barrier layers on the texture and microstructure of Copper films Mat. Res. Soc. Symp. Proc. Vol. 766 2003 Materials Research Society E2.2.1 Effect of barrier layers on the texture and microstructure of Copper films Tejodher Muppidi and David P Field School of MME, Washington

More information

Electron backscattered diffraction study of poly-si by Ni-mediated crystallization of amorphous silicon using a SiO 2 nanocap

Electron backscattered diffraction study of poly-si by Ni-mediated crystallization of amorphous silicon using a SiO 2 nanocap Electron backscattered diffraction study of poly-si by Ni-mediated crystallization of amorphous silicon using a SiO 2 nanocap Y. J. Chang, a) J. H. Oh, K. H. Kim, and Jin Jang b) Advanced Display Research

More information

Alternatives to Aluminium Metallization

Alternatives to Aluminium Metallization Alternatives to Aluminium Metallization Technological pressures on the speed and reliability of integrated circuits has caused a need for changes to be made in the choices of materials used for metallization

More information

Scanning Electron Microscope & Surface Analysis. Wageningen EM Centre Marcel Giesbers

Scanning Electron Microscope & Surface Analysis. Wageningen EM Centre Marcel Giesbers Scanning Electron Microscope & Surface Analysis Wageningen EM Centre Marcel Giesbers Scanning Electron Microscope & Surface Analysis SEM vs Light Microscope and Transmission EM Secondary Electron Imaging

More information

Microstructural evolution of Al Zn Mg Cu (Sc) alloy during hot extrusion and heat treatments

Microstructural evolution of Al Zn Mg Cu (Sc) alloy during hot extrusion and heat treatments Journal of Materials Processing Technology 155 156 (2004) 1330 1336 Microstructural evolution of Al Zn Mg Cu (Sc) alloy during hot extrusion and heat treatments Dong-Woo Suh a,, Sang-Yong Lee a, Kyong-Hwan

More information

Examination of Analytical Conditions for Trace Elements Based on the Detection Limit of EPMA (WDS)

Examination of Analytical Conditions for Trace Elements Based on the Detection Limit of EPMA (WDS) Examination of Analytical Conditions for Trace Elements ased on the Detection Limit of EPMA () Ayako Sato, Norihisa Mori, Masaru Takakura and Satoshi Notoya Electron Optics Division, JEOL Ltd. Introduction

More information

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials Interconnects Outline Interconnect scaling issues Aluminum technology Copper technology 1 Properties of Interconnect Materials Metals Silicides Barriers Material Thin film Melting resistivity point ( C)

More information

Outline. Interconnect scaling issues Polycides, silicides and metal gates Aluminum technology Copper technology

Outline. Interconnect scaling issues Polycides, silicides and metal gates Aluminum technology Copper technology Outline Interconnect scaling issues Polycides, silicides and metal gates Aluminum technology Copper technology Wire Half Pitch vs Technology Node ITRS 2002 Narrow line effects Ref: J. Gambino, IEDM, 2003

More information

Czochralski Crystal Growth

Czochralski Crystal Growth Czochralski Crystal Growth Crystal Pulling Crystal Ingots Shaping and Polishing 300 mm wafer 1 2 Advantage of larger diameter wafers Wafer area larger Chip area larger 3 4 Large-Diameter Wafer Handling

More information

ORIENTATION DETERMINATION BY EBSP IN AN ENVIRONMENTAL SCANNING ELECTRON MICROSCOPE

ORIENTATION DETERMINATION BY EBSP IN AN ENVIRONMENTAL SCANNING ELECTRON MICROSCOPE Pergamon PII S1359-6462(99)00086-X Scripta Materialia, Vol. 41, No. 1, pp. 47 53, 1999 Elsevier Science Ltd Copyright 1999 Acta Metallurgica Inc. Printed in the USA. All rights reserved. 1359-6462/99/$

More information

Microtexture Analysis of Friction Stir Welded Al 6061-T651 Plates

Microtexture Analysis of Friction Stir Welded Al 6061-T651 Plates Microtexture Analysis of Friction Stir Welded Al 6061-T651 Plates Suk Hoon Kang 1, a, Woong Ho Bang 1, b, Jae Hyung Cho 2, c Heung Nam Han 1, d, Kyu Hwan Oh 1, e Chang Gil Lee 3, f, Sung-Joon Kim 3, g

More information

Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process

Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process by Kozue Yabusaki * and Hirokazu Sasaki * In recent years the FIB technique has been widely used for specimen

More information

JSM-7800F Field Emission Scanning Electron Microscope

JSM-7800F Field Emission Scanning Electron Microscope JSM-7800F catalogue JSM-7800F Field Emission Scanning Electron Microscope We provide high performance The Ultimate Research Tool for Multi-Disciplinary Research Institutions Extreme resolution The super

More information

Control of Grain Growth Process by a Local Heating Method

Control of Grain Growth Process by a Local Heating Method Trans. JWRI, Vol.34 (2005), No.1 Control of Grain Growth Process by a Local Heating Method SHIBAYANAGI Toshiya *, TSUKAMOTO Masahiro** and ABE Nobuyuki * Abstract The present work deals with a preferential

More information

Copper Interconnect Technology

Copper Interconnect Technology Tapan Gupta Copper Interconnect Technology i Springer Contents 1 Introduction 1 1.1 Trends and Challenges 2 1.2 Physical Limits and Search for New Materials 5 1.3 Challenges 6 1.4 Choice of Materials 7

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon Chapter 5 Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon 5.1 Introduction In this chapter, we discuss a method of metallic bonding between two deposited silver layers. A diffusion

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 9/13/2007 Fabrication Technology Lecture 1 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world)

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

Supplementary Materials for

Supplementary Materials for www.sciencemag.org/cgi/content/full/336/6084/1007/dc1 Supplementary Materials for Unidirectional Growth of Microbumps on (111)-Oriented and Nanotwinned Copper Hsiang-Yao Hsiao, Chien-Min Liu, Han-wen Lin,

More information

EBSD Basics EBSD. Marco Cantoni 021/ Centre Interdisciplinaire de Microscopie Electronique CIME. Phosphor Screen. Pole piece.

EBSD Basics EBSD. Marco Cantoni 021/ Centre Interdisciplinaire de Microscopie Electronique CIME. Phosphor Screen. Pole piece. EBSD Marco Cantoni 021/693.48.16 Centre Interdisciplinaire de Microscopie Electronique CIME EBSD Basics Quantitative, general microstructural characterization in the SEM Orientation measurements, phase

More information

Tensile Testing of Polycrystalline Silicon Thin Films Using Electrostatic

Tensile Testing of Polycrystalline Silicon Thin Films Using Electrostatic Paper Tensile Testing of Polycrystalline Silicon Thin Films Using Electrostatic Force Grip Member Toshiyuki Tsuchiya (Toyota Central Labs., Inc.) Member Osamu Tabata (Ritsumeikan University) Jiro Sakata

More information

SOLID SOLUTION METAL ALLOYS

SOLID SOLUTION METAL ALLOYS SOLID SOLUTION METAL ALLOYS Synergy Effects vs. Segregation Phenomena D. Manova, J. Lutz, S. Mändl, H. Neumann 1 Table of Content Motivation Alloys vs. Pure Elements or Intermetallic Compounds Introduction

More information

MICROSTRUCTURE AND CRYSTALLOGRAPHIC TEXTURE OF STRIP-CAST 4.3wt%Si STEEL SHEET

MICROSTRUCTURE AND CRYSTALLOGRAPHIC TEXTURE OF STRIP-CAST 4.3wt%Si STEEL SHEET Pergamon Scripta Materialia, Vol. 40, No. 8, pp. 881 885, 1999 Elsevier Science Ltd Copyright 1999 Acta Metallurgica Inc. Printed in the USA. All rights reserved. 1359-6462/99/$ see front matter PII S1359-6462(99)00044-5

More information

Crystallographic Textures Measurement

Crystallographic Textures Measurement Crystallographic Textures Measurement D. V. Subramanya Sarma Department of Metallurgical and Materials Engineering Indian Institute of Technology Madras E-mail: vsarma@iitm.ac.in Macrotexture through pole

More information

Characterization of Cold Drawn Gold bonding Wire with EBSD

Characterization of Cold Drawn Gold bonding Wire with EBSD 499 Material Science Forum Vols. 408-412(2002) pp. 499-504 2002 Trans Tech Publications, Switzerland Characterization of Cold Drawn Gold bonding Wire with EBSD Jae-Hyung Cho 1,2, J.S. Cho 3, J.T. Moon

More information

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process Chapter 3 A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process 3.1 Introduction Low-temperature poly-si (LTPS) TFTs

More information

In-situ Electron Microscopy Mechanical Testing for Steels

In-situ Electron Microscopy Mechanical Testing for Steels Technical Report UDC 621. 385. 2 : 620. 17 : 669. 14 In-situ Electron Microscopy Mechanical Testing for Steels Shunsuke TANIGUCHI* Gerhard DEHM Abstract This paper outlines the techniques of in-situ electron

More information

Effects of Film Thickness on the Yielding Behavior of Polycrystalline Gold Films

Effects of Film Thickness on the Yielding Behavior of Polycrystalline Gold Films Effects of Film Thickness on the Yielding Behavior of Polycrystalline Gold Films H.D. Espinosa and B.C. Prorok Department of Mechanical Engineering, Northwestern University Evanston, IL 628-3111, USA ABSTRACT

More information

Lecture 22: Integrated circuit fabrication

Lecture 22: Integrated circuit fabrication Lecture 22: Integrated circuit fabrication Contents 1 Introduction 1 2 Layering 4 3 Patterning 7 4 Doping 8 4.1 Thermal diffusion......................... 10 4.2 Ion implantation.........................

More information

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam PHYS 534 (Fall 2008) Process Integration Srikar Vengallatore, McGill University 1 OUTLINE Examples of PROCESS FLOW SEQUENCES >Semiconductor diode >Surface-Micromachined Beam Critical Issues in Process

More information

IBS/e Ion Beam Sputter Deposition and Etching System. IBS/e with KDC-10 Ion Beam Sputter Deposition and Etching System with Kaufman Ion Source

IBS/e Ion Beam Sputter Deposition and Etching System. IBS/e with KDC-10 Ion Beam Sputter Deposition and Etching System with Kaufman Ion Source IBS/e Ion Beam Sputter Deposition and Etching System IBS/e with KDC-10 Ion Beam Sputter Deposition and Etching System with Kaufman Ion Source The Model IBS/e is a high vacuum thin film deposition system

More information

Polycrystalline Silicon Produced by Joule-Heating Induced Crystallization

Polycrystalline Silicon Produced by Joule-Heating Induced Crystallization Polycrystalline Silicon Produced by Joule-Heating Induced Crystallization So-Ra Park 1,2, Jae-Sang Ro 1 1 Department of Materials Science and Engineering, Hongik University, Seoul, 121-791, Korea 2 EnSilTech

More information

Development of High Throughput CIGS Manufacturing Process. PI: Neelkanth Dhere Students: Sachin Kulkarni, Ph.D.; Ph.D.; Ashwani Kaul, Ph.D.

Development of High Throughput CIGS Manufacturing Process. PI: Neelkanth Dhere Students: Sachin Kulkarni, Ph.D.; Ph.D.; Ashwani Kaul, Ph.D. UNIVERSITY OF CENTRAL FLORIDA Development of High Throughput CIGS Manufacturing Process PI: Neelkanth Dhere Students: Sachin Kulkarni, Ph.D.; Ph.D.; Ashwani Kaul, Ph.D. Description: A reduction in the

More information

Ceramic Processing Research

Ceramic Processing Research Journal of Ceramic Processing Research. Vol. 10, No. 4, pp. 536~540 (009) J O U R N A L O F Ceramic Processing Research Electrical and optical properties of MgO films deposited on soda lime glass by a

More information

Inductively Coupled Plasma Etching of Pb(Zr x Ti 1 x )O 3 Thin Films in Cl 2 /C 2 F 6 /Ar and HBr/Ar Plasmas

Inductively Coupled Plasma Etching of Pb(Zr x Ti 1 x )O 3 Thin Films in Cl 2 /C 2 F 6 /Ar and HBr/Ar Plasmas Korean J. Chem. Eng., 19(3), 524-528 (2002) Inductively Coupled Plasma Etching of Pb(Zr x Ti 1 x )O 3 Thin Films in Cl 2 /C 2 F 6 /Ar and HBr/Ar Plasmas Chee Won Chung, Yo Han Byun and Hye In Kim Department

More information

Crystallization of Amorphous Silicon Thin Film. by Using a Thermal Plasma Jet. Hyun Seok Lee, Sooseok Choi, Sung Woo Kim, and Sang Hee Hong*

Crystallization of Amorphous Silicon Thin Film. by Using a Thermal Plasma Jet. Hyun Seok Lee, Sooseok Choi, Sung Woo Kim, and Sang Hee Hong* Crystallization of Amorphous Silicon Thin Film by Using a Thermal Plasma Jet Hyun Seok Lee, Sooseok Choi, Sung Woo Kim, and Sang Hee Hong* Department of Nuclear Engineering, Seoul National University Seoul

More information

The Effect of Fillers in Nonconductive Adhesive on the Reliability of Chip-on-Glass Bonding with Sn/Cu Bumps

The Effect of Fillers in Nonconductive Adhesive on the Reliability of Chip-on-Glass Bonding with Sn/Cu Bumps Materials Transactions, Vol. 52, No. 11 (2011) pp. 2106 to 2110 #2011 The Japan Institute of Metals The Effect of Fillers in Nonconductive Adhesive on the Reliability of Chip-on-Glass Bonding with Sn/Cu

More information

Ceramic Processing Research

Ceramic Processing Research Journal of Ceramic Processing Research. Vol. 11, No. 1, pp. 100~106 (2010) J O U R N A L O F Ceramic Processing Research Factors affecting surface roughness of Al 2 O 3 films deposited on Cu substrates

More information

Grain Contrast Imaging in UHV SLEEM

Grain Contrast Imaging in UHV SLEEM Materials Transactions, Vol. 51, No. 2 (2010) pp. 292 to 296 Special Issue on Development and Fabrication of Advanced Materials Assisted by Nanotechnology and Microanalysis #2010 The Japan Institute of

More information

Observation of Al-lines in LSI devices by ultra-high voltage electron microscope

Observation of Al-lines in LSI devices by ultra-high voltage electron microscope Microsc. Microanal. Microstruct. 4 (1993) 239 APRIUJUNE 1993, PAGE 239 Classification Physics Abstracts 07.80-73.90 Observation of Al-lines in LSI devices by ultra-high voltage electron microscope Akio

More information

Structural change during cold rolling of electrodeposited copper

Structural change during cold rolling of electrodeposited copper Materials Science Forum Vols. 539-543 (2007) pp. 5013-5018 online at http://www.scientific.net (2007) Trans Tech Publications, Switzerland Structural change during cold rolling of electrodeposited copper

More information

Texture Analysis in MSE

Texture Analysis in MSE Texture Analysis in MSE 집합조직제어연구실 서울대학교재료공학부 Texture (Preferred Orientation) Similarity of Texture E.T?! 한쪽을쳐다보고있는사람들여러방향을쳐다보는 Randomly Preferred Oriented What a Wonderful & Beautiful Life! Scientific

More information

Micro-Structural Observation of the Bonding Interface between Au Wire and a Platinum Electrode

Micro-Structural Observation of the Bonding Interface between Au Wire and a Platinum Electrode Micro-Structural Observation of the Bonding Interface between Au Wire and a Platinum Electrode WATANABE Hirohiko *, ISHIKAWA Sumihisa **, ITO Mototaka **, SAKO Hideki **, KIMURA Kosuke **, NAKAGAWA Yoshitsugu

More information

Carnegie Mellon MRSEC

Carnegie Mellon MRSEC Carnegie Mellon MRSEC Texture, Microstructure & Anisotropy, Fall 2009 A.D. Rollett, P. Kalu 1 ELECTRONS SEM-based TEM-based Koseel ECP EBSD SADP Kikuchi Different types of microtexture techniques for obtaining

More information

Thermal Evaporation. Theory

Thermal Evaporation. Theory Thermal Evaporation Theory 1. Introduction Procedures for depositing films are a very important set of processes since all of the layers above the surface of the wafer must be deposited. We can classify

More information

Transmission Kikuchi Diffraction in the Scanning Electron Microscope

Transmission Kikuchi Diffraction in the Scanning Electron Microscope Transmission Kikuchi Diffraction in the Scanning Electron Microscope Robert Keller, Roy Geiss, Katherine Rice National Institute of Standards and Technology Nanoscale Reliability Group Boulder, Colorado

More information

ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization. Die Image

ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization. Die Image ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization Prof. Rensselaer Polytechnic Institute Troy, NY 12180 Office: CII-6229 Tel.: (518) 276-2909 e-mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s18/ecse

More information

In situ SEM observation of electromigration phenomena in fully embedded copper interconnect structures

In situ SEM observation of electromigration phenomena in fully embedded copper interconnect structures Microelectronic Engineering 64 (2002) 375 382 www.elsevier.com/ locate/ mee In situ SEM observation of electromigration phenomena in fully embedded copper interconnect structures Abstract M.A. Meyer *,

More information

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther EECS 40 Spring 2003 Lecture 19 Microfabrication 4/1/03 Prof. ndy Neureuther How are Integrated Circuits made? Silicon wafers Oxide formation by growth or deposition Other films Pattern transfer by lithography

More information

11:30 AM - C4.4 Chemical Vapor Deposition of Cobalt Nitride and Its Application as an Adhesion-enhancing Layer for Advanced Copper Interconnects

11:30 AM - C4.4 Chemical Vapor Deposition of Cobalt Nitride and Its Application as an Adhesion-enhancing Layer for Advanced Copper Interconnects 2012-04-11 SYMPOSIUM C 11:30 AM - C4.4 Chemical Vapor Deposition of Cobalt Nitride and Its Application as an Adhesion-enhancing Layer for Advanced Copper Interconnects Jing Yang 1, Harish B. Bhandari 1,

More information

A Quantitative Evaluation of Microstructure by Electron Back-Scattered Diffraction Pattern Quality Variations

A Quantitative Evaluation of Microstructure by Electron Back-Scattered Diffraction Pattern Quality Variations Microsc. Microanal. 19, S5, 83 88, 2013 doi:10.1017/s1431927613012397 A Quantitative Evaluation of Microstructure by Electron Back-Scattered Diffraction Pattern Quality Variations SukHoonKang, 1 Hyung-Ha

More information

NEMI Sn Whisker Modeling Group Part 2:Future Work

NEMI Sn Whisker Modeling Group Part 2:Future Work NEMI Sn Whisker Modeling Group Part 2:Future Work IPC/NEMI Meeting Maureen Williams, NIST Irina Boguslavsky, NEMI Consultant November 7, 2002 New Orleans, LA Capabilities of NEMI Modeling Group NEMI Fundamental

More information

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width Microelectronics Introduction to the IC technology M.Rencz 11 September, 2002 9/16/02 1/37 Integrated circuits Development is controlled by the roadmaps. Self-fulfilling predictions for the tendencies

More information

Amorphous and Polycrystalline Thin-Film Transistors

Amorphous and Polycrystalline Thin-Film Transistors Part I Amorphous and Polycrystalline Thin-Film Transistors HYBRID AMORPHOUS AND POLYCRYSTALLINE SILICON DEVICES FOR LARGE-AREA ELECTRONICS P. Mei, J. B. Boyce, D. K. Fork, G. Anderson, J. Ho, J. Lu, Xerox

More information

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Metallization deposition and etching. Material mainly taken from Campbell, UCCS Metallization deposition and etching Material mainly taken from Campbell, UCCS Application Metallization is back-end processing Metals used are aluminum and copper Mainly involves deposition and etching,

More information

COMPARISON OF TEXTURE IN COPPER AND ALUMINUM THIN FILMS DETERMINED BY XRD AND EBSD *

COMPARISON OF TEXTURE IN COPPER AND ALUMINUM THIN FILMS DETERMINED BY XRD AND EBSD * COMPARISON OF TEXTURE IN COPPER AND ALUMINUM THIN FILMS DETERMINED BY XRD AND EBSD * 201 J. Müller 1, D. Balzar 1,2, R.H. Geiss 1, D.T. Read 1, and R.R. Keller 1 1 Materials Reliability Division, National

More information

Fabrication and Layout

Fabrication and Layout ECEN454 Digital Integrated Circuit Design Fabrication and Layout ECEN 454 3.1 A Glimpse at MOS Device Polysilicon Aluminum ECEN 475 4.2 1 Material Classification Insulators Glass, diamond, silicon oxide

More information

More Thin Film X-ray Scattering and X-ray Reflectivity

More Thin Film X-ray Scattering and X-ray Reflectivity Stanford Synchrotron Radiation Laboratory More Thin Film X-ray Scattering and X-ray Reflectivity Mike Toney, SSRL 1. Introduction (real space reciprocal space) 2. Polycrystalline film (no texture) RuPt

More information

New Understanding of Abnormal Grain Growth Approached by Solid-State Wetting along Grain Boundary or Triple Junction.

New Understanding of Abnormal Grain Growth Approached by Solid-State Wetting along Grain Boundary or Triple Junction. Materials Science Forum Online: 2004-10-15 ISSN: 1662-9752, Vols. 467-470, pp 745-750 doi:10.4028/www.scientific.net/msf.467-470.745 Citation & Copyright 2004 Trans (to be Tech inserted Publications, by

More information

Development of Microstructure and Mechanical Properties in Laser-FSW Hybrid Welded Inconel 600

Development of Microstructure and Mechanical Properties in Laser-FSW Hybrid Welded Inconel 600 Materials Transactions, Vol. 50, No. 7 (2009) pp. 1832 to 1837 #2009 The Japan Institute of Metals Development of Microstructure and Mechanical Properties in Laser-FSW Hybrid Welded Inconel 600 Kuk Hyun

More information

n region. But, it is a bit difficult

n region. But, it is a bit difficult VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras Lecture - 31 Problems in Aluminium Metal Contacts So, we have been discussing about the

More information

2-inch polycrystalline silicon thin film transistor array. using field aided lateral crystallization

2-inch polycrystalline silicon thin film transistor array. using field aided lateral crystallization 2-inch polycrystalline silicon thin film transistor array using field aided lateral crystallization JAE HOON JUNG, MYEONG HO KIM, YOUNG BAE KIM a, DUCK-KYUN CHOI, Division of Materials Science and Engineering,

More information

Microfabrication of Integrated Circuits

Microfabrication of Integrated Circuits Microfabrication of Integrated Circuits OUTLINE History Basic Processes Implant; Oxidation; Photolithography; Masks Layout and Process Flow Device Cross Section Evolution Lecture 38, 12/05/05 Reading This

More information

QUANTITATIVE MICROSTRUCTURE ANALYSIS OF DISPERSION STRENGTHENED Al-Al 4 C 3 MATERIAL BY EBSD TECHNIQUE

QUANTITATIVE MICROSTRUCTURE ANALYSIS OF DISPERSION STRENGTHENED Al-Al 4 C 3 MATERIAL BY EBSD TECHNIQUE Acta Metallurgica Slovaca, Vol. 16, 2010, No.2, p. 97-101 97 QUANTITATIVE MICROSTRUCTURE ANALYSIS OF DISPERSION STRENGTHENED Al-Al 4 C 3 MATERIAL BY EBSD TECHNIQUE M. Varchola, M. Besterci, K. Sülleiová

More information

Preparation of PZT(53/47) thick films deposited by a dip-coating process

Preparation of PZT(53/47) thick films deposited by a dip-coating process Microelectronic Engineering 66 (003) 865 871 www.elsevier.com/ locate/ mee Preparation of PZT(53/47) thick s deposited by a dip-coating process * Xi-Yun He, Ai-Li Ding, Xin-Sen Zheng, Ping-Sun Qiu, Wei-Gen

More information

In-situ Heating Characterisation Using EBSD

In-situ Heating Characterisation Using EBSD Webinar In-situ Heating Characterisation Using EBSD Speakers Dr. Ali Gholinia Dr. Neil Othen Dr. Jenny Goulden Topics Introduction to EBSD Why do in-situ experiments? EBSD equipment requirements for in-situ

More information

Complexity of IC Metallization. Early 21 st Century IC Technology

Complexity of IC Metallization. Early 21 st Century IC Technology EECS 42 Introduction to Digital Electronics Lecture # 25 Microfabrication Handout of This Lecture. Today: how are Integrated Circuits made? Silicon wafers Oxide formation by growth or deposition Other

More information

Effect of Stacking Fault Energy on Evolution of Recrystallization Textures in Drawn Wires and Rolled Sheets

Effect of Stacking Fault Energy on Evolution of Recrystallization Textures in Drawn Wires and Rolled Sheets Materials Science Forum Vols. 495-497 (2005) pp. 1243-1248 online at http://www.scientific.net 2005 Trans Tech Publications, Switzerland 194 Effect of Stacking Fault Energy on Evolution of Recrystallization

More information

EE 330 Lecture 8. IC Fabrication Technology Part II. - Oxidation - Epitaxy - Polysilicon - Interconnects

EE 330 Lecture 8. IC Fabrication Technology Part II. - Oxidation - Epitaxy - Polysilicon - Interconnects EE 330 Lecture 8 IC Fabrication Technology Part II - Oxidation - Epitaxy - Polysilicon - Interconnects Review from Last Time MOS Transistor Bulk Source Gate Drain p-channel MOSFET Lightly-doped n-type

More information

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation Micro-Electro-Mechanical Systems (MEMS) Fabrication Fabrication Considerations Stress-Strain, Thin-film Stress, Stiction Special Process Modules for MEMS Bonding, Cavity Sealing, Deep RIE, Spatial forming

More information

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation. Figure 2.1 (p. 58) Basic fabrication steps in the silicon planar process: (a) oxide formation, (b) selective oxide removal, (c) deposition of dopant atoms on wafer, (d) diffusion of dopant atoms into exposed

More information

Chapter 4 Fabrication Process of Silicon Carrier and. Gold-Gold Thermocompression Bonding

Chapter 4 Fabrication Process of Silicon Carrier and. Gold-Gold Thermocompression Bonding Chapter 4 Fabrication Process of Silicon Carrier and Gold-Gold Thermocompression Bonding 4.1 Introduction As mentioned in chapter 2, the MEMs carrier is designed to integrate the micro-machined inductor

More information

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high vacuum ~10-7 torr Removes residual gases eg oxygen from

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time Etching Dry etch (anisotropic) SiO

More information

Observations of Intermetallic Compound Formation of Hot Dip Aluminized Steel

Observations of Intermetallic Compound Formation of Hot Dip Aluminized Steel Materials Science Forum Vols. 519-521 (2006) pp. 1871-1875 online at http://www.scientific.net (2006) Trans Tech Publications, Switzerland Observations of Intermetallic Compound Formation of Hot Dip Aluminized

More information

Seeing is Believing. - Nanostructure of Anodic Alumina Film - The International Hard Anodizing Association 15th Technical Symposium

Seeing is Believing. - Nanostructure of Anodic Alumina Film - The International Hard Anodizing Association 15th Technical Symposium Seeing is Believing - Nanostructure of Anodic Alumina Film - The International Hard Anodizing Association 15th Technical Symposium September 24-26, 2014 Sheraton Lincoln Harbor Hotel, Weehawken, NJ Sachiko

More information

The application of scanning electron beam anomalous transmission patterns in mineralogy

The application of scanning electron beam anomalous transmission patterns in mineralogy MINERALOGICAL MAGAZINE, JUNE 1969, VOL. 37, NO. 286 The application of scanning electron beam anomalous transmission patterns in mineralogy M. P. JONES, B.SC., D.I.C., A.M.I.M.M., AND J. GAVRILOVIC, PH.D.,

More information

Microstructural characterisation of interfaces in magnetic pulse welded aluminum/aluminum joints

Microstructural characterisation of interfaces in magnetic pulse welded aluminum/aluminum joints IOP Conference Series: Materials Science and Engineering PAPER OPEN ACCESS Microstructural characterisation of interfaces in magnetic pulse welded aluminum/aluminum joints To cite this article: S Sharafiev

More information

SEM sample preparation

SEM sample preparation SEM sample preparation 5th CEMM workshop Maja Koblar, Sc. Eng. Physics Outline Before SEM characterization Preparation of bulk (soft and hard) material Preparation of powders Mounting: holders and adhesives

More information

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in Chapter 5 PROCESSING OF DEVICES A discussion of crystal growth, lithography, etching, doping, and device structures is presented in the following overview gures. SEMICONDUCTOR DEVICE PROCESSING: AN OVERVIEW

More information

Electron Microscopy Studies of Niobium Thin Films on Copper

Electron Microscopy Studies of Niobium Thin Films on Copper Electron Microscopy Studies of Niobium Thin Films on Copper Roy Crooks 1, Greg Thompson 2, Robb Morris 2, Michelle Adams Hughes 3, Daudi Waryoba 3, and Peter Kalu 3 1 Black Laboratories, L.L.C., Newport

More information

Through Silicon Vias Annealing: A thermo-mechanical assessment

Through Silicon Vias Annealing: A thermo-mechanical assessment Dresden University of Technology / Through Silicon Vias Annealing: P. Saettler (1), K. J. Wolter (1), M. Hecker (2), M. Boettcher (3) and C. Rudolph (3) (1) Technische Universität Dresden, (2) Globalfoundries

More information

IC Fabrication Technology Part III Devices in Semiconductor Processes

IC Fabrication Technology Part III Devices in Semiconductor Processes EE 330 Lecture 10 IC Fabrication Technology Part III Metalization and Interconnects Parasitic Capacitances Back-end Processes Devices in Semiconductor Processes Resistors Diodes Review from Last Lecture

More information

Enhancement of Copper Wetting via Surfactant-Based Post-Treatment of Ultra-Thin Atomic Layer Deposited Tantalum Nitride Liners

Enhancement of Copper Wetting via Surfactant-Based Post-Treatment of Ultra-Thin Atomic Layer Deposited Tantalum Nitride Liners Mat. Res. Soc. Symp. Proc. Vol. 766 2003 Materials Research Society E10.3.1 Enhancement of Copper Wetting via Surfactant-Based Post-Treatment of Ultra-Thin Atomic Layer Deposited Tantalum Nitride Liners

More information

Lect. 2: Basics of Si Technology

Lect. 2: Basics of Si Technology Unit processes Thin Film Deposition Etching Ion Implantation Photolithography Chemical Mechanical Polishing 1. Thin Film Deposition Layer of materials ranging from fractions of nanometer to several micro-meters

More information

Problem 1 Lab Questions ( 20 points total)

Problem 1 Lab Questions ( 20 points total) Problem 1 Lab Questions ( 20 points total) (a) (3 points ) In our EE143 lab, we use Phosphorus for the source and drain diffusion. However, most advanced processes use Arsenic. What is the advantage of

More information

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation Micro-Electro-Mechanical Systems (MEMS) Fabrication Fabrication Considerations Stress-Strain, Thin-film Stress, Stiction Special Process Modules for MEMS Bonding, Cavity Sealing, Deep RIE, Spatial forming

More information

Annealing Effect on Elastic Constant of Ultrathin Films Studied by Acoustic-Phonon Resonance Spectroscopy

Annealing Effect on Elastic Constant of Ultrathin Films Studied by Acoustic-Phonon Resonance Spectroscopy 1st International Symposium on Laser Ultrasonics: Science, Technology and Applications July 16-18 28, Montreal, Canada Annealing Effect on Elastic Constant of Ultrathin Films Studied by Acoustic-Phonon

More information

DEPOSITION AND CHARACTERISTICS OF TANTALUM NITRIDE FILMS BY PLASMA ASSISTED ATOMIC LAYER DEPOSITION AS CU DIFFUSION BARRIER

DEPOSITION AND CHARACTERISTICS OF TANTALUM NITRIDE FILMS BY PLASMA ASSISTED ATOMIC LAYER DEPOSITION AS CU DIFFUSION BARRIER Mat. Res. Soc. Symp. Proc. Vol. 766 2003 Materials Research Society E3.22.1 DEPOSITION AND CHARACTERISTICS OF TANTALUM NITRIDE FILMS BY PLASMA ASSISTED ATOMIC LAYER DEPOSITION AS CU DIFFUSION BARRIER Kyoung-Il

More information

The Effect of Crystallographic Texture on the Wrap Bendability in AA5754-O Temper Sheet Alloy

The Effect of Crystallographic Texture on the Wrap Bendability in AA5754-O Temper Sheet Alloy Proceedings of the 12th International Conference on Aluminium Alloys, September 5-9, 2010, Yokohama, Japan 2010 The Japan Institute of Light Metals pp. 607-612 607 The Effect of Crystallographic Texture

More information

Microstructure Evolution of Polycrystalline Pure Nickel during Static Recrystallization 1

Microstructure Evolution of Polycrystalline Pure Nickel during Static Recrystallization 1 Materials Transactions, Vol. 43, No. 9 (2002) pp. 2243 to 2248 c 2002 The Japan Institute of Metals Microstructure Evolution of Polycrystalline Pure Nickel during Static Recrystallization 1 Makoto Hasegawa

More information

CHAPTER 9 AFM PROFILING AND NANOLITHOGRAPHY WITH NEEDLE-TIPPED CANTILEVERS

CHAPTER 9 AFM PROFILING AND NANOLITHOGRAPHY WITH NEEDLE-TIPPED CANTILEVERS CHAPTER 9 AFM PROFILING AND NANOLITHOGRAPHY WITH NEEDLE-TIPPED CANTILEVERS Since Ag 2 Ga nanoneedles can be directly grown on (or even in place of) the tips on AFM cantilevers using the pulling technique

More information

1.1 Background Cu Dual Damascene Process and Cu-CMP

1.1 Background Cu Dual Damascene Process and Cu-CMP Chapter I Introduction 1.1 Background 1.1.1 Cu Dual Damascene Process and Cu-CMP In semiconductor manufacturing, we always directed toward adding device speed and circuit function. Traditionally, we focused

More information

Analysis of the Intermetallic Compound Formed in Hot Dip Aluminized Steel

Analysis of the Intermetallic Compound Formed in Hot Dip Aluminized Steel Advanced Materials Research Vols. 15-17 (2007) pp. 159-163 online at http://www.scientific.net (2007) Trans Tech Publications, Switzerland Analysis of the Intermetallic Compound Formed in Hot Dip Aluminized

More information

Introduction. 1. Sputtering process, target materials and their applications

Introduction. 1. Sputtering process, target materials and their applications Sputtering is widely used in the production of electronic devices such as liquid crystal displays (LCDs), optical media, magnetic media and semiconductors. The Kobelco Research Institute, Inc. has been

More information

Large-Grain Polysilicon Films with Low Intragranular Defect Density by Low- Temperature Solid-Phase Crystallization

Large-Grain Polysilicon Films with Low Intragranular Defect Density by Low- Temperature Solid-Phase Crystallization Mat. Res. Soc. Symp. Proc. Vol. 715 2002 Materials Research Society Large-Grain Polysilicon Films with Low Intragranular Defect Density by Low- Temperature Solid-Phase Crystallization Xiang-Zheng Bo, Nan

More information

0HE, United Kingdom. United Kingdom , Japan

0HE, United Kingdom. United Kingdom , Japan Tel. No.: 81-45-924-5357 Fax No.: 81-45-924-5339 e-mail: tkamiya@msl.titech.ac.jp Effects of Oxidation and Annealing Temperature on Grain Boundary Properties in Polycrystalline Silicon Probed Using Nanometre-Scale

More information