Properties and Barrier Material Interactions of Electroless Copper used for Seed Enhancement

Size: px
Start display at page:

Download "Properties and Barrier Material Interactions of Electroless Copper used for Seed Enhancement"

Transcription

1 Mat. Res. Soc. Symp. Proc. Vol Materials Research Society E1.4.1 Properties and Barrier Material Interactions of Electroless Copper used for Seed Enhancement C. Witt a,b,k.pfeifer a,c a International Sematech, Austin, Texas b Infineon Technologies, Munich, Germany c Philips Semiconductors, Eindhoven, Netherlands Abstract The conventionally used sequence for copper damascene metallization consists of barrier deposition, physical vapor deposition (PVD) Cu seed and electroplated copper. Due to the limited step coverage of PVD copper, the extendibility of this sequence to feature dimensions below 90 nm is at risk. To reduce the risk of pinch-off of very small features, the PVD layer thickness will be reduced well below 100 nm, the drawback being poor seed coverage at the bottom of the features. Void free fill by electroplating is hence at risk by both pinch-off and discontinuous seed coverage (3-5). In this paper, the use of a conformal metal deposition method, electroless copper, to enhance PVD seed layers as thin as 10 nm is presented. It is demonstrated that sparse, discontinuous copper films provide a catalytic surface for electroless copper deposition. With electroless copper, void-free copper fill of 12.5 aspect ratio (AR) trenches (70 nm width) and 8.3 AR vias is achieved. Furthermore, 6 nm thin electroless copper films were integrated in a dual damascene process and electrically characterized. A yield of approximately 85% was achieved on via chains ( links, 0.25 by 1.1 µm vias), with 10 nm PVD seed. This was comparable to the yield when using 100 nm PVD seed. Hydrogen, generated as a byproduct during the electroless copper ion reduction, was found in the copper deposits as well as in the barrier films underneath. In some cases, spontaneous blistering in the plated copper film was observed, and is believed to be due to hydrogen incorporation. The interaction of electroless copper films with various barrier materials (PVD Ta, PVD TaN, CVD TiN(Si) and combinations) is discussed. Electromigration test results presented in this paper indicate that the failure mechanism is not qualitatively different from reference samples with the conventional PVD seed. Introduction The extendibility of conventional Cu PVD technology to future interconnect dimensions (1) is at risk due to its limited step coverage. Hence, a conformal deposition method is desired that can deal with damascene features smaller than 80nm. To avoid the high cost of chemical vapor deposition (CVD) copper equipment and development, an alternative route was proposed (2,3) that utilizes PVD by adding a thin, conformal Cu layer to it. This seed enhancement is attractive as established PVD technology will be further used, however, an extra process step will increase manufacturing complexity. This paper describes efforts to evaluate the concept of using thin, conformal electroless Cu deposition. for seed enhancement. For electroless Cu, generally, alkaline chemistries are utilized to prevent seed dissolution. Inherently, an electroless process is independent of the electrical continuity of the substrate and provides a uniform deposition across large substrates. The evaluation is broken into blanket film properties, fill enhancement in single damascene structures and electrical characterization using dual damascene test structures. Finally, electromigration test results are described as initial reliability assessment.

2 E1.4.2 Experimental A. Blanket films Blanket films used in this study were 25nm PVD Ta, 10nm PVD seed, 12nm electroless copper and 1 µm electrochemically deposited (ECD) copper, all deposited on SiO 2 substrates. Details of the bath used for electroless deposition were described previously (3). B. Damascene structures and test procedure To study fill enhancement, single damascene structures were generated in 1µm thick SiO 2 dielectric films on a Si 3 N 4 etch stop layer. Following via etch and clean, some samples received a 50nm thin conformal LPCVD Si 3 N 4 layer in order to increase the aspect ratios. On these samples, a 25nm PVD Ta barrier and 40nm PVD copper seed layer was deposited without vacuum break. The vias were subsequently filled by electrochemical deposition (ECD). On the samples with seed enhancement, 6nm thin electroless copper films were deposited prior to ECD fill. To study sparse PVD seed morphology, via samples were fabricated with the same sequence but using 10nm PVD Cu. Electrical test samples consisted of dual damascene structures using SiO 2 as interlayer dielectric and Si 3 N 4 as etch stop layers. Excess copper removal after electroplating was achieved by chemical mechanical polishing (CMP). Total thickness of the upper dual damascene layer was 1.5 µm including ~0.4µm line thickness and ~1.1 µm via level thickness. After etch and clean, wafers received 25nm PVD Ta or TaN, or bilayers of 12.5/12.5nm Ta/TaN or TaN/Ta, or 5 nm of CVD TiSiN prior to PVD Cu seed deposition. PVD Cu seed thicknesses of 10nm and 100nm were applied. No variation in the metallization sequence was introduced in the underlying metal 1 layer. Electrical measurements were performed after CMP at metal 2 (referred to as M2 test), and after final SiO 2 /Si 3 N 4 passivation, bond pad opening and Al bond pad metallization (referred to as final test). Electrical tests were performed on at least 4 wafers per process condition (split). Electromigration testing was performed on diced and packaged samples at a test temperature of 325 C and a constant current density of 2.6 MA/cm 2. The failure time criterion was 30% resistance change. Results and Discussion A. Fill enhancement Figure 1 (a-c) shows the morphology of electroless Cu films deposited on sparse, discontinuous PVD seed. A continuous, conformal film formed on roughly 2/3 of the via. No electroless depositionoccurredinthelowestsectionoftheviaswherenopvdcuwasdetectedbycrosssectional TEM and EELS. This suggests that a minimal Cu nucleus density is required for the autocatalytic electroless Cu ion reduction. The effect of electroless seed enhancement on ECD fill of 8.3 AR vias (0.12 µm diameter) is also shown in Figure 1. Voids are visible at the bottom of all features. No fill voids are observed in the seed enhancement samples. This result demonstrates that these voids are indicative of poor seed coverage. Another type of void can also occur after ECD due to pinch-off at the top of features or due to an insufficient bottom-up fill process. Such voids are commonly observed along the center axis of the features (2-4). This void type was not observed with the Cu thicknesses used for this paper. Trenches with an aspect ratio (AR) of 12.5 (width 0.08 µm) were also filled void free (no image) using seed enhancement but showed bottom voids without enhancement. B. Film properties: resistivity and hydrogen incorporation To study the effect of the seed enhancement layer on ECD film growth, 1µm Cu films were plated with and without electroless Cu pre-coating. Next, the films were annealed for 30 min at 150 C to promote grain growth. After annealing, sheet-resistivity along with thickness measurements using

3 E1.4.3 FIB cross-sections and SEM inspection were used to determine bulk resistivity. In both cases, films with and without electroless Cu, the specific resistivity was approximately 1.8 µωcm. Hydrogen is generated as by-product during electroless deposition by oxidizing the reducing agent, in this case glyoxilic acid, and incorporates in the deposit (6-9). To characterize the hydrogen content in seed enhanced films, desorbing species were detected by residual gas analysis (RGA) in a vacuum chamber, as a function of temperature. RGA data collection was performed during the entire temperature cycle that consisted of heating to 150 C (4 /min), holding 150 C for 30 min, and cooling (4 /min). Figure 2a shows hydrogen partial pressure of a wafer that had a 10nm PVD seed layer and 1µm ECD copper (reference sample). The hydrogen content in the residual gas was monitored to be between 1E-07 and 1E-06 Torr during the entire cycle. This measurement was repeated on a sample wafer that had 12nm electroless copper deposited prior to 1µm ECD Cu. Compared to the reference sample (solid line in figure 2a), this film stack exhibited significant hydrogen desorption (1E-5 Torr) upon heating at ~100 C. After holding 150 C/30 min, a low hydrogen level of 1E-07 Torr was reached. This suggests that most of the non-residual hydrogen enclosed in the film stack had diffused out. To verify whether hydrogen incorporates into the underlying Ta, two sample wafers were prepared as in the experiment described above. Prior to heat treatment, the Cu has been etched entirely off the Ta using nitric acid. The thermal desorption measurement results are shown in Figure 2b. Clearly, the Ta film on which electroless was applied previously exhibited significant hydrogen desorption. D. Blister Formation Blister formation is a known phenomenon in electroless copper deposition (6) and is generally attributed to coalescence of hydrogen bubbles (8). Hydrogen can furthermore lead to high porosity and low ductility in electroless films due to enclosed gas bubbles (8). On some samples prepared during this work, it was observed that dome shaped blisters could form after ECD deposition. The number of blisters depended on details of the electroless bath (temperature, composition, additives). Samples that had thicker (i.e. 100nm) PVD seed never showed blistering. Furthermore, it was found that the film delamination at the blister occurred at the barrier-substrate interface. Optimisation of electroless film thickness (<20nm) and deposition conditions resulted in a blister free process. D. Electrical characterization: via yield enhancement To show the effect of electroless seed enhancement on the electrical performance of dual damascene copper vias and lines, the resistance of 0.25µm wide via chains containing links was measured as shown in figure 3. When the PVD seed thickness is reduced from 100nm (control) to 10nm, the via chain yield reduces from nearly 80% to 40% both measured at after M2 CMP. The application of 6nm electroless seed enhancement on M2 level test structures with 10nm PVD seed resulted in a via chain yield of nearly 80% at M2, which is comparable to the 100nm PVD control split. Furthermore, all wafers with PVD only seed layers exhibited a drop in yield between the post CMP readout (M2) and the measurement after final passivation (final) of approximately 10-20%. The wafers with enhancement did not exhibit such drop, which may be due to the conformal nature of electroless Cu. D. Barrier material interactions To show the effect of electroless Cu on via resistance with various barrier metals, the resistance distributions of µm via chains are shown for Ta, TaN, bilayers of Ta/TaN, and TiSiN in figure 4. The resistance per contact increased significantly when using electroless Cu for certain barrier choices: in the case of Ta, seed enhancement on 10nm PVD Cu led to increase in median resistance of approximately 0.25Ω compared to the 100nm PVD Cu control group. When TaN was used, the difference between 10nm PVD Cu with enhancement and 100nm without was on the other

4 E1.4.4 hand only ~0.05Ω. The bilayer samples showed an intermediate shift of roughly 0.1Ω independent of the layer order (Ta or TaN first). In the case of 5nm thick TiSIN, the contact resistance distribution of wafers with 100nm PVD seed and no enhancement and 10nm PVD seed with enhancement was not different. The via chain result for 10nm PVD on TiSiN seed with no enhancement is also shown in figure 4. The yield was in this case lower than 5% indicating significant voiding due to seed failure during ECD Cu fill. The resistance shifts for Ta, and the lack of it for TaN and TiSiN can be explained by chemical attack of the barrier by hydrogen intrusion. Hydrogen, diffused into the barrier at the via bottom during electroless deposition can form TaH if enough metallic Ta is available. TaN and TiSiN are chemically more inert and not attacked. This is substantiated by the observation that bilayers show an intermediate resistance shift and the fact that the shift is independent of the Ta/TaN order. This suggests that the total amount of Ta vs. TaN in the film is more important than the impact of electroless on one of the barrier/cu interfaces. E. Reliability: electromigration testing Figure 5a shows median electromigration (EM) lifetime for samples with 10nm PVD seed and enhancement was approximately half that of the control samples. The distribution widths, however, were not significantly different. Figures 5b and c show portions of a seed enhanced sample after test. Both, the cathode side void (5b) and the anode side extrusion (5c) are located at the upper Si 3 N 4 dielectric barrier. This is a typical EM failure mode and occurred in similar fashion on control samples. The impact of seed enhancement on electromigration is not fully understood at this point. Conclusions Electroless copper films were integrated into a dual damascene metallization sequence to enhance PVD seed. In terms of via chain yield, with seed enhancement PVD Cu seed thickness could be reduced to from 100nm to 10nm without penalty. Features with sizes that will be used for 45nm technology and potentially beyond were filled void free. A potential threat is the spontaneous formation of blisters, which is believed to be caused by hydrogen incorporation in the copper during deposition, which can be avoided by careful choice of process conditions. The barrier materials tested showed different contact resistance responses to seed enhancement. The results suggest that, in contrast to Ta, TaN and TiSiN are chemically inert to hydrogen attack. This may limit applicability of this seed enhancement technique with regard to barrier selection. The electromigration test results do not indicate that the failure mode alters substantially with the use of seed enhancement. References (1) International Technology Roadmap for Semiconductors 2001 Edition, Interconnect, SIA, San Jose, CA, 2001, (2) C. Witt, A. Frank, E. Webb, J. Reid, K. Pfeifer, Proc. Of the IITC conf (3) T. Andryuschenko and J. Reid, Proc. Of the IITC conf (4) T. Ritzdorf, D. Fulton, L. Chen, Advanced Metallization Conference Proc., p. 101, M. Gross et al. Eds., MRS, 1999 (5)T.Moffat,J.E.Bonevich,W.H.Hiber,A.Stanishevsky,D.R.Kelly,G.R.Stafford,andD. Josell, J. Electrochem. Soc., 147, p (2000) (6) S. Nakahara and Y. Okinaka, Acta metall. 31, No.5, pp , 1982 (7) Y. Shacham-Diamand et.al, Thin Solid Films 262, pp , 1995 (8) S. Nakahara, Acta metall. 36, No. 7, pp ,1988 (9) M. Paunovic in Electrochemistry in Transition, O. J. Murphy et al. Eds. Plenum Press, New York 1992

5 E1.4.5 discontinuous PVD film b Ta + 10nm PVD Cu d Ta + 40nm PVD Cu a HAADF - STEM 0.2 µm 20 nm Figure 1. Morphology and fill effect of electroless Cu: (a) TEM close-up of (b) discontinuous PVD seed in 0.18x1µm vias, (c) SEM of after 6nm electroless deposition µm diameter by 1 µm deep vias are shown without (d) and with seed enhancement (e) and subsequent ECD Cu fill. Ta+10nm PVD Cu + 6nm EL c Ta + 40nm PVD Cu + 6nm EL e a H2 partial pressure (torr) 1.E-05 1.E-06 1.E-07 1µm plated Cu Cu w/ electroless Temperature ( C) Cu c b H2 partial pressure (torr) 1.E-05 1.E-06 1.E-07 Ta barrier after Cu removal bare Ta w/ electroless bare Ta Figure 2. Thermal hydrogen desorption upon temperature: The hydrogen partial pressure in the residual gas (right axis) is shown for a) 1 µm ECD copper film on 10nm copper seed and 1µm ECD copper on 12nm electroless on 10nm PVD seed. b) shows hydrogen desorption of the Ta barrier of 2 similar samples where the Cu has been stripped before the temperature cycle. c) shows a blister in a 1µm ECD film with 12nm electroless Cu under it. Temperature ( C)

6 E Figure 3. Yield of 360k 0.25µm via chains for 100nm and 10nm PVD copper seed. The yield of wafers with seed enhancement on 10nm PVD seed is also shown. Yield is shown for both, after CMP and at final test. Yield criterion was 1 Ω per link nm PVD control 10 nm PVD 10 nm PVD 6nm Electroless M2 = post CMP final = post final processing 100 TiSiN 100 Cu w/o SR Ta 100 Cu control TaN 100 Cu w/o SR Probability Distribution (%) TiSiN 10 Cu w/o SR TaN/Ta Ta/TaN Ta Figure 4. Via chain readouts for various barriers with and with out seed enhancement. Thickness values for the PVD Cu seed films in the annotations are given in nm. Ta, TaN refer to 25nm thickness, Ta/TaN and TaN/Ta refer to 12.5/12.5nm bilayers. All TiSiN films were 5nm thick Units (OHMS) % a b Cumulative Probability 90% 80% 70% 60% 50% 40% 30% 20% 10% PVD 10 w/ enhancement PVD 1000control c 1% 1 10 TTF (hrs) Figure 5. Electromigration lifetimes (a) and FIB cross section of a damaged cathode end (b) and anode end (c).

Development of different copper seed layers with respect to the copper electroplating process

Development of different copper seed layers with respect to the copper electroplating process Microelectronic Engineering 50 (2000) 433 440 www.elsevier.nl/ locate/ mee Development of different copper seed layers with respect to the copper electroplating process a, a a b b b K. Weiss *, S. Riedel,

More information

Copper Interconnect Technology

Copper Interconnect Technology Tapan Gupta Copper Interconnect Technology i Springer Contents 1 Introduction 1 1.1 Trends and Challenges 2 1.2 Physical Limits and Search for New Materials 5 1.3 Challenges 6 1.4 Choice of Materials 7

More information

1.1 Background Cu Dual Damascene Process and Cu-CMP

1.1 Background Cu Dual Damascene Process and Cu-CMP Chapter I Introduction 1.1 Background 1.1.1 Cu Dual Damascene Process and Cu-CMP In semiconductor manufacturing, we always directed toward adding device speed and circuit function. Traditionally, we focused

More information

Outline. Interconnect scaling issues Polycides, silicides and metal gates Aluminum technology Copper technology

Outline. Interconnect scaling issues Polycides, silicides and metal gates Aluminum technology Copper technology Outline Interconnect scaling issues Polycides, silicides and metal gates Aluminum technology Copper technology Wire Half Pitch vs Technology Node ITRS 2002 Narrow line effects Ref: J. Gambino, IEDM, 2003

More information

Effect of barrier layers on the texture and microstructure of Copper films

Effect of barrier layers on the texture and microstructure of Copper films Mat. Res. Soc. Symp. Proc. Vol. 766 2003 Materials Research Society E2.2.1 Effect of barrier layers on the texture and microstructure of Copper films Tejodher Muppidi and David P Field School of MME, Washington

More information

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Metallization deposition and etching. Material mainly taken from Campbell, UCCS Metallization deposition and etching Material mainly taken from Campbell, UCCS Application Metallization is back-end processing Metals used are aluminum and copper Mainly involves deposition and etching,

More information

Method For Stripping Copper In Damascene Interconnects >>>CLICK HERE<<<

Method For Stripping Copper In Damascene Interconnects >>>CLICK HERE<<< Method For Stripping Copper In Damascene Interconnects Damascene, or acid copper plating baths, have been in use since the mid 19th century on decorative items and machinery.1,2 The process generally uses

More information

Overview of Dual Damascene Cu/Low-k Interconnect

Overview of Dual Damascene Cu/Low-k Interconnect ERC Retreat Stanford: New Chemistries & Tools for scco 2 Processing of Thin Films Overview of Dual Damascene Cu/Low-k Interconnect P. Josh Wolf 1,4 - Program Manager, Interconnect Div. josh.wolf@sematech.org

More information

CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node

CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node UMC/ ATD_AM / CMP Department T. C. Tsai, W. C. Tsao, Welch Lin, C. L. Hsu, C. L. Lin, C. M. Hsu, J. F. Lin, C. C.

More information

Metallizing High Aspect Ratio TSVs For MEMS Challenges and Capabilities. Vincent Mevellec, PhD

Metallizing High Aspect Ratio TSVs For MEMS Challenges and Capabilities. Vincent Mevellec, PhD Metallizing High Aspect Ratio TSVs For MEMS Challenges and Capabilities Vincent Mevellec, PhD Agenda Introduction MEMS and sensors market TSV integration schemes Process flows for TSV Metallization aveni

More information

ALD and CVD of Copper-Based Metallization for. Microelectronic Fabrication. Department of Chemistry and Chemical Biology

ALD and CVD of Copper-Based Metallization for. Microelectronic Fabrication. Department of Chemistry and Chemical Biology ALD and CVD of Copper-Based Metallization for Microelectronic Fabrication Yeung Au, Youbo Lin, Hoon Kim, Zhengwen Li, and Roy G. Gordon Department of Chemistry and Chemical Biology Harvard University Introduction

More information

Effect of impurity on Cu electromigration

Effect of impurity on Cu electromigration Effect of impurity on Cu electromigration C.K. Hu, M. Angyal, B. Baker, G. Bonilla, C. Cabral, D. F. Canaperi, L. Clevenger, D. Edelstein, L. Gignac, E. Huang, J. Kelly, B. Y. Kim, V. Kyei- Fordjour, S.

More information

ALD of Copper and Copper Oxide Thin Films for Applications in Metallization Systems of ULSI Devices

ALD of Copper and Copper Oxide Thin Films for Applications in Metallization Systems of ULSI Devices ALD of Copper and Copper Oxide Thin Films for Applications in Metallization Systems of ULSI Devices a, Steffen Oswald b, Nina Roth c, Heinrich Lang c, Stefan E. Schulz a,d, and Thomas Gessner a,d a Center

More information

IC Fabrication Technology Part III Devices in Semiconductor Processes

IC Fabrication Technology Part III Devices in Semiconductor Processes EE 330 Lecture 10 IC Fabrication Technology Part III Metalization and Interconnects Parasitic Capacitances Back-end Processes Devices in Semiconductor Processes Resistors Diodes Review from Last Lecture

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

Cu electroplating in advanced packaging

Cu electroplating in advanced packaging Cu electroplating in advanced packaging March 12 2019 Richard Hollman PhD Principal Process Engineer Internal Use Only Advancements in package technology The role of electroplating Examples: 4 challenging

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time Etching Dry etch (anisotropic) SiO

More information

SLURRY FORMULATION OPTIONS

SLURRY FORMULATION OPTIONS SLURRY FORMULATION OPTIONS CHALLENGES FOR DEFECT REDUCTION IN CU,Ta/TaN AND Ru PLANARIZATION S. V. Babu Center for Advanced Materials Processing, 1 Clarkson University (www.clarkson.edu/camp) Acknowledgments

More information

Alternatives to Aluminium Metallization

Alternatives to Aluminium Metallization Alternatives to Aluminium Metallization Technological pressures on the speed and reliability of integrated circuits has caused a need for changes to be made in the choices of materials used for metallization

More information

RELIABILITY OF MULTI-TERMINAL COPPER DUAL-DAMASCENE INTERCONNECT TREES

RELIABILITY OF MULTI-TERMINAL COPPER DUAL-DAMASCENE INTERCONNECT TREES RELIABILITY OF MULTI-TERMINAL COPPER DUAL-DAMASCENE INTERCONNECT TREES C. L. Gan 1,, C. V. Thompson 1,2, K. L. Pey 1,3, and W. K. Choi 1,4 1 Advanced Materials for Micro- and Nano- Systems Programme, Singapore-MIT

More information

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA Overview of CMP for TSV Applications Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA Outline TSV s and the Role of CMP TSV Pattern and Fill TSV Reveal (non-selective)

More information

11:30 AM - C4.4 Chemical Vapor Deposition of Cobalt Nitride and Its Application as an Adhesion-enhancing Layer for Advanced Copper Interconnects

11:30 AM - C4.4 Chemical Vapor Deposition of Cobalt Nitride and Its Application as an Adhesion-enhancing Layer for Advanced Copper Interconnects 2012-04-11 SYMPOSIUM C 11:30 AM - C4.4 Chemical Vapor Deposition of Cobalt Nitride and Its Application as an Adhesion-enhancing Layer for Advanced Copper Interconnects Jing Yang 1, Harish B. Bhandari 1,

More information

MICROCHIP MANUFACTURING by S. Wolf

MICROCHIP MANUFACTURING by S. Wolf MICROCHIP MANUFACTURING by S. Wolf Chapter 7: BASICS OF THIN FILMS 2004 by LATTICE PRESS Chapter 7: Basics of Thin Films CHAPTER CONTENTS Terminology of Thin Films Methods of Thin-Film Formation Stages

More information

Electromigration behavior of 60 nm dual damascene Cu interconnects

Electromigration behavior of 60 nm dual damascene Cu interconnects JOURNAL OF APPLIED PHYSICS 102, 093516 2007 Electromigration behavior of 60 nm dual damascene Cu interconnects Jung Woo Pyun, a Won-Chong Baek, Lijuan Zhang, b Jay Im, and Paul S. Ho Microelectronics Research

More information

Bottom-Up Fill for Submicrometer Copper Via Holes of ULSIs by Electroless Plating

Bottom-Up Fill for Submicrometer Copper Via Holes of ULSIs by Electroless Plating 0013-4651/2004/151 12 /C781/5/$7.00 The Electrochemical Society, Inc. Bottom-Up Fill for Submicrometer Copper Via Holes of ULSIs by Electroless Plating C781 Zenglin Wang, Osamu Yaegashi, Hiroyuki Sakaue,

More information

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley Nonplanar Metallization Planar Metallization Passivation Metal 5 (copper) Metal 3 (copper) Interlevel dielectric (ILD) Via (tungsten) Metal 1 (copper) Tungsten Plug to Si Silicon Caps and Plugs oxide oxide

More information

Czochralski Crystal Growth

Czochralski Crystal Growth Czochralski Crystal Growth Crystal Pulling Crystal Ingots Shaping and Polishing 300 mm wafer 1 2 Advantage of larger diameter wafers Wafer area larger Chip area larger 3 4 Large-Diameter Wafer Handling

More information

Cu/low κ. Voids, Pits, and Copper

Cu/low κ. Voids, Pits, and Copper Cu/low κ S P E C I A L s, Pits, and Copper Judy B Shaw, Richard L. Guldi, Jeffrey Ritchison, Texas Instruments Incorporated Steve Oestreich, Kara Davis, Robert Fiordalice, KLA-Tencor Corporation As circuit

More information

Exam 1 Friday Sept 22

Exam 1 Friday Sept 22 Exam 1 Friday Sept 22 Students may bring 1 page of notes Next weeks HW assignment due on Wed Sept 20 at beginning of class No 5:00 p.m extension so solutions can be posted Those with special accommodation

More information

TSV Interposer Process Flow with IME 300mm Facilities

TSV Interposer Process Flow with IME 300mm Facilities TSV Interposer Process Flow with IME 300mm Facilities Property of Institute of Microelectronics (IME)-Singapore August 17, 2012 Outline 1. TSV interposer (TSI) cross sectional schematic TSI with BEOL,

More information

Ultra High Barrier Coatings by PECVD

Ultra High Barrier Coatings by PECVD Society of Vacuum Coaters 2014 Technical Conference Presentation Ultra High Barrier Coatings by PECVD John Madocks & Phong Ngo, General Plasma Inc., 546 E. 25 th Street, Tucson, Arizona, USA Abstract Silicon

More information

Advanced Metrology for Copper/Low-k Interconnects

Advanced Metrology for Copper/Low-k Interconnects Advanced Metrology for Copper/Low-k Interconnects Executive Summary The semiconductor industry s continued push to reduce feature size and increase circuit speed has resulted in a global race to reinvent

More information

All fabrication was performed on Si wafers with 285 nm of thermally grown oxide to

All fabrication was performed on Si wafers with 285 nm of thermally grown oxide to Supporting Information: Substrate preparation and SLG growth: All fabrication was performed on Si wafers with 285 nm of thermally grown oxide to aid in visual inspection of the graphene samples. Prior

More information

Metallization. Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance

Metallization. Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance Metallization Interconnects Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance RC time delay Inter-Metal Dielectric -Prefer low dielectric constant to reduce capacitance

More information

EE 330 Lecture 12. Devices in Semiconductor Processes

EE 330 Lecture 12. Devices in Semiconductor Processes EE 330 Lecture 12 Devices in Semiconductor Processes Review from Lecture 9 Copper Interconnects Limitations of Aluminum Interconnects Electromigration Conductivity not real high Relevant Key Properties

More information

Development of Low-resistivity TiN Films using Cat Radical Sources

Development of Low-resistivity TiN Films using Cat Radical Sources Development of Low-resistivity TiN Films using Cat Radical Sources Masamichi Harada*, Yohei Ogawa*, Satoshi Toyoda* and Harunori Ushikawa** In Cu wiring processes in the 32-nm node generation or later,

More information

Understanding and Reducing Copper Defects

Understanding and Reducing Copper Defects Understanding and Reducing Copper Defects Most high-performance logic manufacturers are by now developing, piloting or producing copper-based circuits. There are a number of companies that introduced copper

More information

Lecture 22: Integrated circuit fabrication

Lecture 22: Integrated circuit fabrication Lecture 22: Integrated circuit fabrication Contents 1 Introduction 1 2 Layering 4 3 Patterning 7 4 Doping 8 4.1 Thermal diffusion......................... 10 4.2 Ion implantation.........................

More information

ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization. Die Image

ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization. Die Image ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization Prof. Rensselaer Polytechnic Institute Troy, NY 12180 Office: CII-6229 Tel.: (518) 276-2909 e-mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s18/ecse

More information

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

Semiconductor Manufacturing Technology. IC Fabrication Process Overview Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 00 by Prentice Hall Chapter 9 IC Fabrication Process Overview /4 Objectives After studying the material in this chapter, you

More information

45nm Reliability Issues. Glenn Alers Integration Group Novellus Systems

45nm Reliability Issues. Glenn Alers Integration Group Novellus Systems 45nm Reliability Issues Glenn Alers Integration Group Novellus Systems 1 Integration Challenges for Interconnects Maintain low RC with reduced line widths No sacrifice in reliability Reduced Cu line width

More information

PROCESS INTEGRATION ISSUES OF LOW-PERMITTIVITY DIELECTRICS WITH COPPER FOR HIGH-PERFORMANCE INTERCONNECTS

PROCESS INTEGRATION ISSUES OF LOW-PERMITTIVITY DIELECTRICS WITH COPPER FOR HIGH-PERFORMANCE INTERCONNECTS PROCESS INTEGRATION ISSUES OF LOW-PERMITTIVITY DIELECTRICS WITH COPPER FOR HIGH-PERFORMANCE INTERCONNECTS A DISSERTATION SUBMITTED TO THE DEPARTMENT OF ELECTRICAL ENGINEERING AND THE COMMITTEE ON GRADUATE

More information

Enhancement of Copper Wetting via Surfactant-Based Post-Treatment of Ultra-Thin Atomic Layer Deposited Tantalum Nitride Liners

Enhancement of Copper Wetting via Surfactant-Based Post-Treatment of Ultra-Thin Atomic Layer Deposited Tantalum Nitride Liners Mat. Res. Soc. Symp. Proc. Vol. 766 2003 Materials Research Society E10.3.1 Enhancement of Copper Wetting via Surfactant-Based Post-Treatment of Ultra-Thin Atomic Layer Deposited Tantalum Nitride Liners

More information

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 2001 by Prentice Hall Chapter 11 Deposition Film Layers for an MSI Era NMOS Transistor Topside Nitride Pre-metal oxide Sidewall

More information

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon Chapter 5 Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon 5.1 Introduction In this chapter, we discuss a method of metallic bonding between two deposited silver layers. A diffusion

More information

Chapter 4 Fabrication Process of Silicon Carrier and. Gold-Gold Thermocompression Bonding

Chapter 4 Fabrication Process of Silicon Carrier and. Gold-Gold Thermocompression Bonding Chapter 4 Fabrication Process of Silicon Carrier and Gold-Gold Thermocompression Bonding 4.1 Introduction As mentioned in chapter 2, the MEMs carrier is designed to integrate the micro-machined inductor

More information

Effect of barrier process on electromigration reliability of Cu/porous low-k interconnects

Effect of barrier process on electromigration reliability of Cu/porous low-k interconnects Effect of barrier process on electromigration reliability of Cu/porous low-k interconnects Jung Woo Pyun, a Won-Chong Baek, Jay Im, and Paul S. Ho Microelectronics Research Center, PRC/MER Mail Code R8650,

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 9/13/2007 Fabrication Technology Lecture 1 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world)

More information

Mostafa Soliman, Ph.D. May 5 th 2014

Mostafa Soliman, Ph.D. May 5 th 2014 Mostafa Soliman, Ph.D. May 5 th 2014 Mostafa Soliman, Ph.D. 1 Basic MEMS Processes Front-End Processes Back-End Processes 2 Mostafa Soliman, Ph.D. Wafers Deposition Lithography Etch Chips 1- Si Substrate

More information

3D technologies for integration of MEMS

3D technologies for integration of MEMS 3D technologies for integration of MEMS, Fraunhofer Institute for Electronic Nano Systems Folie 1 Outlook Introduction 3D Processes Process integration Characterization Sample Applications Conclusion Folie

More information

Lect. 2: Basics of Si Technology

Lect. 2: Basics of Si Technology Unit processes Thin Film Deposition Etching Ion Implantation Photolithography Chemical Mechanical Polishing 1. Thin Film Deposition Layer of materials ranging from fractions of nanometer to several micro-meters

More information

Direct Plating of Low Resistivity Bright Cu Film onto TiN Barrier Layer via Pd Activation

Direct Plating of Low Resistivity Bright Cu Film onto TiN Barrier Layer via Pd Activation 0013-4651/2003/151 1 /C97/5/$7.00 The Electrochemical Society, Inc. Direct Plating of Low Resistivity Bright Cu Film onto TiN Barrier Layer via Pd Activation Jae Jeong Kim,*,z Soo-Kil Kim,* and Yong Shik

More information

Atomic Layer Deposition(ALD)

Atomic Layer Deposition(ALD) Atomic Layer Deposition(ALD) AlO x for diffusion barriers OLED displays http://en.wikipedia.org/wiki/atomic_layer_deposition#/media/file:ald_schematics.jpg Lam s market-leading ALTUS systems combine CVD

More information

Deposition Technologies for >500GB/in 2 and HAMR Write Heads PMR

Deposition Technologies for >500GB/in 2 and HAMR Write Heads PMR Deposition Technologies for >500GB/in 2 and HAMR Write Heads PMR Outline Background New technologies for PMR pole deposition Optical films for HAMR write heads Summary 2 Background Technology Roadmap 2009

More information

Cu CMP: Macro-scale Manufacturing for Nano-scale Quality Requirements

Cu CMP: Macro-scale Manufacturing for Nano-scale Quality Requirements Cu CMP: Macro-scale Manufacturing for Nano-scale Quality Requirements Jung-Hoon Chun Laboratory for Manufacturing and Productivity Massachusetts Institute of Technology Cambridge, MA 02139 April 23, 2009

More information

SKW Wafer Product List

SKW Wafer Product List SKW Wafer Product List Regularly updated (2.13.2018) SKW Associates, INC. 2920 Scott Blvd, Santa Clara, CA 95054 Tel: 408-919-0094, Fax: 408-919-0097 I. Available Wafers in 200mm and 300mm - Please refer

More information

HBLED packaging is becoming one of the new, high

HBLED packaging is becoming one of the new, high Ag plating in HBLED packaging improves reflectivity and lowers costs JONATHAN HARRIS, President, CMC Laboratories, Inc., Tempe, AZ Various types of Ag plating technology along with the advantages and limitations

More information

Failure Mechanism of Electromigration in Via Sidewall for Copper Dual Damascene Interconnection

Failure Mechanism of Electromigration in Via Sidewall for Copper Dual Damascene Interconnection G782 0013-4651/2006/153 8 /G782/5/$20.00 The Electrochemical Society Failure Mechanism of Electromigration in Via Sidewall for Copper Dual Damascene Interconnection Y. L. Hsu, Y. K. Fang, z Y. T. Chiang,

More information

EE BACKEND TECHNOLOGY - Chapter 11. Introduction

EE BACKEND TECHNOLOGY - Chapter 11. Introduction 1 EE 212 FALL 1999-00 BACKEND TECHNOLOGY - Chapter 11 Introduction Backend technology: fabrication of interconnects and the dielectrics that electrically and physically separate them. Aluminum N+ Early

More information

Lecture 10. Metallization / Back-end technology (BEOL)

Lecture 10. Metallization / Back-end technology (BEOL) Lecture 10 Metallization / Back-end technology (BEOL) Lecture 9: Metallization and BEOL Metallization Technology Evaporation Sputtering Back End Of the Line (BEOL) ITRS Requirements Evolution of Metallization

More information

CHAPTER 5 EFFECT OF POST DEPOSITION ANNEALING ON THE SURFACE MORPHOLOGY OF THE DLC FILM

CHAPTER 5 EFFECT OF POST DEPOSITION ANNEALING ON THE SURFACE MORPHOLOGY OF THE DLC FILM 86 CHAPTER 5 EFFECT OF POST DEPOSITION ANNEALING ON THE SURFACE MORPHOLOGY OF THE DLC FILM 5.1 INTRODUCTION Among various amorphous carbon films, DLC films produced via RF-PECVD have been successfully

More information

Alternative Methods of Yttria Deposition For Semiconductor Applications. Rajan Bamola Paul Robinson

Alternative Methods of Yttria Deposition For Semiconductor Applications. Rajan Bamola Paul Robinson Alternative Methods of Yttria Deposition For Semiconductor Applications Rajan Bamola Paul Robinson Origin of Productivity Losses in Etch Process Aggressive corrosive/erosive plasma used for etch Corrosion/erosion

More information

Fabrication of Ru/Bi 4-x La x Ti 3 O 12 /Ru Ferroelectric Capacitor Structure Using a Ru Film Deposited by Metalorganic Chemical Vapor Deposition

Fabrication of Ru/Bi 4-x La x Ti 3 O 12 /Ru Ferroelectric Capacitor Structure Using a Ru Film Deposited by Metalorganic Chemical Vapor Deposition Mat. Res. Soc. Symp. Proc. Vol. 784 2004 Materials Research Society C7.7.1 Fabrication of Ru/Bi 4-x La x Ti 3 O 12 /Ru Ferroelectric Capacitor Structure Using a Ru Film Deposited by Metalorganic Chemical

More information

Notable Trends in CMP: Past, Present and Future

Notable Trends in CMP: Past, Present and Future Notable Trends in CMP: Past, Present and Future Semiconductor International February 15 th, 2007 Pete Singer Editor-in-Chief Levitronix CMP Users Conference 2007 April 1988: Etchback, SOG November 1990:

More information

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high vacuum ~10-7 torr Removes residual gases eg oxygen from

More information

Investigation of overpotential and seed thickness on damascene copper electroplating

Investigation of overpotential and seed thickness on damascene copper electroplating Surface & Coatings Technology 200 (2006) 3112 3116 www.elsevier.com/locate/surfcoat Investigation of overpotential and on damascene copper electroplating K.W. Chen a, Y.L. Wang b, *, L. Chang a, F.Y. Li

More information

A Functional Micro-Solid Oxide Fuel Cell with. Nanometer Freestanding Electrolyte

A Functional Micro-Solid Oxide Fuel Cell with. Nanometer Freestanding Electrolyte Electronic Supplementary Material (ESI) for Journal of Materials Chemistry A. This journal is The Royal Society of Chemistry 2017 SUPPLEMENTARY INFORMATION A Functional Micro-Solid Oxide Fuel Cell with

More information

Comparison of Missing Metal Defect Formation on He In-Situ and Furnace Annealed Electroplated

Comparison of Missing Metal Defect Formation on He In-Situ and Furnace Annealed Electroplated Comparison of Missing Metal Defect Formation on He In-Situ and Furnace Annealed Electroplated Copper Films Yasmin Abdul Wahab 1, Anuar Fadzil Ahmad 2 and Zaiki Awang 1 1 Microwave Technology Centre, Faculty

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

A New Liquid Precursor for Pure Ruthenium Depositions. J. Gatineau, C. Dussarrat

A New Liquid Precursor for Pure Ruthenium Depositions. J. Gatineau, C. Dussarrat 1.1149/1.2727414, The Electrochemical Society A New Liquid Precursor for Pure Ruthenium Depositions J. Gatineau, C. Dussarrat Air Liquide Laboratories, Wadai 28, Tsukuba city, Ibaraki Prefecture, 3-4247,

More information

Metal bonding. Aida Khayyami, Kirill Isakov, Maria Grigoreva Miika Soikkeli, Sample Inkinen

Metal bonding. Aida Khayyami, Kirill Isakov, Maria Grigoreva Miika Soikkeli, Sample Inkinen Metal bonding Aida Khayyami, Kirill Isakov, Maria Grigoreva Miika Soikkeli, Sample Inkinen Timing (delete before presentation) Introduction (Outline, available bonding techniques, evaluation of metal bondings)-3

More information

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation Micro-Electro-Mechanical Systems (MEMS) Fabrication Fabrication Considerations Stress-Strain, Thin-film Stress, Stiction Special Process Modules for MEMS Bonding, Cavity Sealing, Deep RIE, Spatial forming

More information

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width Microelectronics Introduction to the IC technology M.Rencz 11 September, 2002 9/16/02 1/37 Integrated circuits Development is controlled by the roadmaps. Self-fulfilling predictions for the tendencies

More information

Fabrication Technology, Part II

Fabrication Technology, Part II EEL5225: Principles of MEMS Transducers (Fall 2003) Fabrication Technology, Part II Agenda: Process Examples TI Micromirror fabrication process SCREAM CMOS-MEMS processes Wafer Bonding LIGA Reading: Senturia,

More information

via into the critical line above. A 20% resistance increase defines the failure of the test structure.

via into the critical line above. A 20% resistance increase defines the failure of the test structure. Electromigration and Stressmigration Failure Mechanism Studies in Copper Interconnects Armin H. Fischer and Alexander von Glasow Reliability Methodology, Infineon Technologies AG, Munich Abstract Electromigration

More information

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica Microelettronica Planar Technology for Silicon Integrated Circuits Fabrication 26/02/2017 A. Neviani - Microelettronica Introduction Simplified crosssection of an nmosfet and a pmosfet Simplified crosssection

More information

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation Micro-Electro-Mechanical Systems (MEMS) Fabrication Fabrication Considerations Stress-Strain, Thin-film Stress, Stiction Special Process Modules for MEMS Bonding, Cavity Sealing, Deep RIE, Spatial forming

More information

Selective Vapor Deposition

Selective Vapor Deposition Selective Vapor Deposition The Harvard community has made this article openly available. Please share how this access benefits you. Your story matters. Citation Accessed Citable Link Terms of Use Gordon,

More information

Extending Etch and Deposition Capabilities for Implementation of 3D Packaging of MEMS in Volume Production

Extending Etch and Deposition Capabilities for Implementation of 3D Packaging of MEMS in Volume Production Extending Etch and Deposition Capabilities for Implementation of 3D Packaging of MEMS in Volume Production David Butler, VP Product Management & Marketing SPTS Technologies Contents Industry Trends TSV

More information

A Nano-thick SOI Fabrication Method

A Nano-thick SOI Fabrication Method A Nano-thick SOI Fabrication Method C.-H. Huang 1, J.T. Cheng 1, Y.-K. Hsu 1, C.-L. Chang 1, H.-W. Wang 1, S.-L. Lee 1,2, and T.-H. Lee 1,2 1 Dept. of Mechanical Engineering National Central University,

More information

O2 Plasma Damage and Dielectric Recoveries to Patterned CDO Low-k Dielectrics

O2 Plasma Damage and Dielectric Recoveries to Patterned CDO Low-k Dielectrics O2 Plasma Damage and Dielectric Recoveries to Patterned CDO Low-k Dielectrics H. Huang 1, J. Bao 1, H. Shi 1, P. S. Ho 1, M L McSwiney 2, M D Goodner 2, M Moinpour 2, and G M Kloster 2 1 Laboratory for

More information

The ABC s of CMP for DWB and SOI. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010

The ABC s of CMP for DWB and SOI. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010 The ABC s of CMP for DWB and SOI Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010 Outline Introduction Direct Wafer Bonding (DWB) Background CMP for DWB Silicon-On-Insulator (SOI) Background

More information

Renesas Electronics, 2 IBM at Albany Nanotech, 3 IBM T. J. Watson Research Center, 4 IBM Microelectronics, and 5 GLOBALFOUNDRIES

Renesas Electronics, 2 IBM at Albany Nanotech, 3 IBM T. J. Watson Research Center, 4 IBM Microelectronics, and 5 GLOBALFOUNDRIES Effective Cu Surface Pre-treatment for High-reliable 22nmnode Cu Dual Damascene Interconnects with High Plasma Resistant Ultra Low-k Dielectric (k=2.2) F. Ito 1, H. Shobha 2, M. Tagami 1, T. Nogami 2,

More information

Microstructures using RF sputtered PSG film as a sacrificial layer in surface micromachining

Microstructures using RF sputtered PSG film as a sacrificial layer in surface micromachining Sādhanā Vol. 34, Part 4, August 2009, pp. 557 562. Printed in India Microstructures using RF sputtered PSG film as a sacrificial layer in surface micromachining VIVEKANAND BHATT 1,, SUDHIR CHANDRA 1 and

More information

Supplementary Materials for

Supplementary Materials for www.sciencemag.org/cgi/content/full/336/6084/1007/dc1 Supplementary Materials for Unidirectional Growth of Microbumps on (111)-Oriented and Nanotwinned Copper Hsiang-Yao Hsiao, Chien-Min Liu, Han-wen Lin,

More information

Metallization. Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance

Metallization. Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance Metallization Interconnects Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance RC time delay Inter-Metal Dielectric -Prefer low dielectric constant to reduce capacitance

More information

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material on any substrate (in principal) Start with pumping down

More information

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials Interconnects Outline Interconnect scaling issues Aluminum technology Copper technology 1 Properties of Interconnect Materials Metals Silicides Barriers Material Thin film Melting resistivity point ( C)

More information

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation Micro-Electro-Mechanical Systems (MEMS) Fabrication Fabrication Considerations Stress-Strain, Thin-film Stress, Stiction Special Process Modules for MEMS Bonding, Cavity Sealing, Deep RIE, Spatial forming

More information

COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING

COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING Lynne Michaelson 1, Anh Viet Nguyen 2, Krystal Munoz 1, Jonathan C. Wang

More information

Lecture Day 2 Deposition

Lecture Day 2 Deposition Deposition Lecture Day 2 Deposition PVD - Physical Vapor Deposition E-beam Evaporation Thermal Evaporation (wire feed vs boat) Sputtering CVD - Chemical Vapor Deposition PECVD LPCVD MVD ALD MBE Plating

More information

Chapter 4 : ULSI Process Integration (0.18 m CMOS Process)

Chapter 4 : ULSI Process Integration (0.18 m CMOS Process) Chapter : ULSI Process Integration (0.8 m CMOS Process) Reference. Semiconductor Manufacturing Technology : Michael Quirk and Julian Serda (00). - (00). Semiconductor Physics and Devices- Basic Principles(/e)

More information

Polishing of Bulk Micro-Machined Substrates by Fixed Abrasive Pads for Smoothing and Planarization of MEMS Structures

Polishing of Bulk Micro-Machined Substrates by Fixed Abrasive Pads for Smoothing and Planarization of MEMS Structures PAPER D Polishing of Bulk Micro-Machined Substrates by Fixed Abrasive Pads for Smoothing and Planarization of MEMS Structures In: Proceedings of IEEE/SEMI Advanced Semiconductors Manufacturing Conference

More information

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications Journal of ELECTRONIC MATERIALS, Vol. 31, No. 5, 2002 Special Issue Paper Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems

More information

STUDY OF INFLUENCE OF IN SITU CLEANING PROCESS ON THE QUALITY OF PECVD SiO 2 / LPCVD POLYSILICON INTERFACE

STUDY OF INFLUENCE OF IN SITU CLEANING PROCESS ON THE QUALITY OF PECVD SiO 2 / LPCVD POLYSILICON INTERFACE STUDY OF INFLUENCE OF IN SITU CLEANING PROCESS ON THE QUALITY OF PECVD SiO 2 / LPCVD POLYSILICON INTERFACE Abstract ANA NEILDE R. DA SILVA, NILTON MORIMOTO, OLIVIER BONNAUD* neilde@lsi.usp.br - morimoto@lsi.usp.br

More information

Atomic Layer Deposition (ALD)

Atomic Layer Deposition (ALD) Atomic Layer Deposition (ALD) ALD provides Uniform, controlled, conformal deposition of oxide, nitride, and metal thin films on a nanometer scale. ALD is a self limiting thin film deposition technique

More information

Low Temperature Dielectric Deposition for Via-Reveal Passivation.

Low Temperature Dielectric Deposition for Via-Reveal Passivation. EMPC 2013, September 9-12, Grenoble; France Low Temperature Dielectric Deposition for Via-Reveal Passivation. Kath Crook, Mark Carruthers, Daniel Archard, Steve Burgess, Keith Buchanan SPTS Technologies,

More information

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam PHYS 534 (Fall 2008) Process Integration Srikar Vengallatore, McGill University 1 OUTLINE Examples of PROCESS FLOW SEQUENCES >Semiconductor diode >Surface-Micromachined Beam Critical Issues in Process

More information