A Time Dependency CMP Model for Dishing and Erosion in Copper Damascene and STI Process

Size: px
Start display at page:

Download "A Time Dependency CMP Model for Dishing and Erosion in Copper Damascene and STI Process"

Transcription

1 1 A Time Dependency CMP Model for Dishing and Erosion in Copper Damascene and STI Process SFR Workshop & Review April 17, 2002 Jianfeng Luo, Runzi (Tiger) Chang and Professor David A. Dornfeld Berkeley, CA 2002 Goal: To build an integrated CMP model for basic mechanical and chemical elements.

2 2 Motivation Need to know what input variables and how they influence the formation of dishing and erosion in copper damascene and STI process.

3 3 ide Erosion and Copper Dishing in Copper Damascene Process Dishing Erosion Copper line thinning is equal to erosion plus dishing. Resistance is a function of line thinning Dishing worsens the copper line topography milar phenomena happen in STI process Source: Hitachi, 2000

4 4 Definition of Input and Output Variables in Damascene Process Th Cu S h 0 h Start point of polishing End point of polishing (L/E): A parameter related to the pad material (Young s modulus E) and pad topography (L) S: Original step height h: Step height during polishing h 0 : Initial deformation of pad asperities P 0 : Down pressure applied on die D: Pattern density of copper line T: Time of Polishing K e and C 1 : Preston s Coefficients for copper (MRR= KeP 0 + C 1 ) K ox and C 2 : Preston s Coefficients for oxide (MRR= K ox P 0 + C 2 )

5 5 First Stage of Material Removal: Linear Time Dependency of Step Height Reduction Cu h h 0 = (L/E)P 0 /(1-D) the deformation of pad asperity Cu h= h 0 Start point of the first stage End point of the first stage h= K e P 0 /(1-D)T when h> h 0. Only higher part of the step is in contact with pad. One single material-- copper is removed. Step height is a linear function of time.

6 6 Second Stage of Material Removal: Exponent Time Dependency of Step Height Reduction Cu h= h 0 Start point of the second stage h End point of the second stage (Dishing is formed before erosion) h= h 0 Exp(-(K e E/L)T) when h< h 0, where h 0 = (L/E)P 0 /(1-D). Both higher and lower part of the step are in contact with pad. Step height is an exponent function of time.

7 7 Third Stage of Material Removal (Over-polishing): Exponent Time Dependency of Dishing and Erosion Er h= h c Cu h= h c h Start point of the third stage End point of the third stage Dishing h= a/b-[a/b- h c ] Exp (-bt)] where a=(-k ox P 0 -C 2 +K e P 0 +C 1 ), b= (E/L)(K ox D+K e (1-D)) and h c is the initial dishing. Erosion Er= (K ox P 0 +C 2 )T+(K ox ED/b[ h c -a/b][1-exp(-bt)] Both dishing and erosion are exponent functions of time.

8 8 Selected mulation Results of the Step Height as a Function of Time 600 Original Step Height S 500nm 500 PD= 0.9 PD= 0.1 Final Dishing Original Copper Thickness Th Down Pressure P nm 12kPa Step Height (nm) First Stage Second Stage Third Stage Preston s Coefficeint for Copper K e and C 1 Preson s Coefficient for ide K ox and C 2 Coefficient E/L Time Constant for Second Stage K e E/L Time Constant for Third Stage (E/L)(K ox D+K e (1-D)). K e = 0.278nm/(Sec.kPa) C 1 = 2.5nm/Sec. K ox = nm/Sec.kPa) C2= kPa.nm Sec. 261Sec. for PD= Sec. for PD= Time (Second) Duration of Stage 1 Duration of Stage 2 Duration of Stage 3 0 Sec. for PD= Sec. for PD= Sec. for PD= Sec. for PD= Sec. for PD= Sec. for PD= 0.9

9 Normalized Remaining Step Height Polishing Time (Second) 9 Experiment Results VS. Model Predictions of the Time Dependency of Step Height Reduction in The First and Second Stages Linear Stage Exponent Stage Experimental data from Stavreva et. al., Microelectronic Engineering, Vol. 33, Experimental (PD= 0.9) Experimental (PD= 0.85) Experimental (PD= 0.8) Experimental (PD= 0.67) Experimental (PD=0.5) Experimental (PD=0.2) Model (PD= 0.9) Model (PD= 0.85) Model (PD= 0.8) Model (PD= 0.67) Model (PD= 0.5) Model (PD= 0.2)

10 10 Experiment Results VS. Model Predictions of the Time Dependency of Dishing in The Third Stage Dishing (nm) Experimental Data 1 Model Experimental Dta 2 Model Experimental Data 3 Model Overpolishing (%) Experimental data from Pan et. al., IEEE IITC, San Francisco, 1999.

11 11 Discussion Dishing and erosion depend on polishing time, pattern density, pad material, topography, and material removal mechanism (Parameters related to Preston s coefficient including abrasive size, slurry chemicals, etc.) This model can be integrated with the comprehensive material removal model developed earlier. Dishing and erosion depend on processes before CMP such as electroplating, CVD, and copper line thickness before polishing. More experimental verification is needed. Model Limitations (1) An important parameter: copper line width is not included in the model yet. (2) Model may be invalid when the copper line width is small in comparison with the pad asperity.

12 Goals Develop comprehensive chemical and mechanical model. Perform experimental and metrological validation, by 9/30/2003.

Chemical Mechanical Planarization

Chemical Mechanical Planarization 1 Chemical Mechanical Planarization SFR Workshop & Review November 14, 2002 David Dornfeld, Fiona Doyle, Costas Spanos, Jan Talbot Berkeley, CA 2 Focus of this presentation CMP research milestones in SFR

More information

4th Annual SFR Workshop, Nov. 14, 2001

4th Annual SFR Workshop, Nov. 14, 2001 4th Annual SFR Workshop, Nov. 14, 2001 8:30 9:00 Research and Educational Objectives / Spanos 9:00 9:45 CMP / Doyle, Dornfeld, Talbot, Spanos 9:45 10:30 Plasma & Diffusion / Graves, Lieberman, Cheung,

More information

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley Nonplanar Metallization Planar Metallization Passivation Metal 5 (copper) Metal 3 (copper) Interlevel dielectric (ILD) Via (tungsten) Metal 1 (copper) Tungsten Plug to Si Silicon Caps and Plugs oxide oxide

More information

CMP Solutions for 10nm and Beyond: Breaking trade-offs in the Planarization / Defect Balance

CMP Solutions for 10nm and Beyond: Breaking trade-offs in the Planarization / Defect Balance SMC Korea May 18, 2016 CMP Solutions for 10nm and Beyond: Breaking trade-offs in the Planarization / Defect Balance Marty W. DeGroot Global R&D Director, CMP Technologies, The Dow Chemical Company Key

More information

Notable Trends in CMP: Past, Present and Future

Notable Trends in CMP: Past, Present and Future Notable Trends in CMP: Past, Present and Future Semiconductor International February 15 th, 2007 Pete Singer Editor-in-Chief Levitronix CMP Users Conference 2007 April 1988: Etchback, SOG November 1990:

More information

Feature-level Compensation & Control. CMP September 15, 2005 A UC Discovery Project

Feature-level Compensation & Control. CMP September 15, 2005 A UC Discovery Project Feature-level Compensation & Control CMP September 15, 2005 A UC Discovery Project Chemical Mechanical Planarization - Faculty Team Mechanical Phenomena David A. Dornfeld Mechanical Engineering UCB Fiona

More information

Test Patterns for Chemical Mechanical Polish Characterization

Test Patterns for Chemical Mechanical Polish Characterization Dobek S: CMP Characterization 15th Annual Microelectronic Engineering Conference, 1997 Test Patterns for Chemical Mechanical Polish Characterization Stanley 3. Dobek Senior Microelectronic Engineering

More information

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA Overview of CMP for TSV Applications Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA Outline TSV s and the Role of CMP TSV Pattern and Fill TSV Reveal (non-selective)

More information

Cu CMP: Macro-scale Manufacturing for Nano-scale Quality Requirements

Cu CMP: Macro-scale Manufacturing for Nano-scale Quality Requirements Cu CMP: Macro-scale Manufacturing for Nano-scale Quality Requirements Jung-Hoon Chun Laboratory for Manufacturing and Productivity Massachusetts Institute of Technology Cambridge, MA 02139 April 23, 2009

More information

Passivation of Copper During Chemical Mechanical Planarization

Passivation of Copper During Chemical Mechanical Planarization 1 Passivation of Copper During Chemical Mechanical Planarization SFR Workshop & Review November 14, 22 Amnuaysak, Chianpairot and Fiona M. Doyle Berkeley, CA 23 GOAL: to characterize the composition of

More information

Evaluation of Copper CMP Process Characterization Wafers

Evaluation of Copper CMP Process Characterization Wafers SKW Associates, Inc. Evaluation of Copper CMP Process Characterization Wafers SKW6-3 & SKW6-5 SooKap Hahn Jan 15, 2005 Polish Proposal 1 Planned Polishing: Week of Dec 20 th Customer: SKW Associates Inc.

More information

Evolution of Copper-Oxide Damascene Structures in Chemical Mechanical Polishing

Evolution of Copper-Oxide Damascene Structures in Chemical Mechanical Polishing Journal of The Electrochemical Society, 149 1 G41-G50 2002 0013-4651/2001/149 1 /G41/10/$7.00 The Electrochemical Society, Inc. G41 Evolution of Copper-Oxide Damascene Structures in Chemical Mechanical

More information

The History & Future of

The History & Future of The History & Future of CMP CMPUG July 2008 Karey Holland, Ph.D. kholland@nexplanar.com Ken Cadien, Ph.D. University of Alberta kcadien@ualberta.ca http://www.nexplanar.com http://www.ualberta.ca/ Outline

More information

Copper Interconnect Technology

Copper Interconnect Technology Tapan Gupta Copper Interconnect Technology i Springer Contents 1 Introduction 1 1.1 Trends and Challenges 2 1.2 Physical Limits and Search for New Materials 5 1.3 Challenges 6 1.4 Choice of Materials 7

More information

Research Activities on Defect Improvement of CMP Process in 1x nm Foundry Device

Research Activities on Defect Improvement of CMP Process in 1x nm Foundry Device Research Activities on Defect Improvement of CMP Process in 1x nm Foundry Device 1JI CHUL YANG, 2Hong Jin Kim, 2Venu. Govindarajulu,1Dinesh Koli and 2Jason Mazzotti Jichul.yang@globalfoundries.com 1 CMP,

More information

IMPACT Seminar. Title: Past, present, and future of CMP Faculty: David Dornfeld Department: Mechanical Engineering University: Berkeley IMPACT

IMPACT Seminar. Title: Past, present, and future of CMP Faculty: David Dornfeld Department: Mechanical Engineering University: Berkeley IMPACT 1 Seminar Title: Past, present, and future of Faculty: David Dornfeld Department: Mechanical Engineering University: Berkeley 2 Overview Outline History and Future Development (courtesy of Ken Cadien,

More information

PATTERN DEPENDENT MODELING FOR CMP OPTIMIZATION AND CONTROL

PATTERN DEPENDENT MODELING FOR CMP OPTIMIZATION AND CONTROL MRS Spring Meeting, Proc. Symposium P: Chemical Mechanical Polishing, San Francisco, CA, Apr. 1999. ABSTRACT PATTERN DEPENDENT MODELING FOR CMP OPTIMIZATION AND CONTROL D. BONING, B. LEE, C. OJI, D. OUMA,

More information

Integration Issues with Cu CMP

Integration Issues with Cu CMP Integration Issues with Cu CMP Copper CMP Integrated Solutions Michael R. Oliver Rodel, Inc. December 3, 2003 Outline Dual Damascene Approach Requirements Impact of Cu Deposition Topography Issues Sensitivity

More information

Key Factors that Influence Step Height Reduction Efficiency and Defectivity during Metal CMP

Key Factors that Influence Step Height Reduction Efficiency and Defectivity during Metal CMP Key Factors that Influence Step Height Reduction Efficiency and Defectivity during Metal CMP Yuzhuo Li Center for Advanced Materials Processing Department of Chemistry Clarkson University Potsdam, NY 13699

More information

Post-CMP Cleaning: Interaction between Particles and Surfaces

Post-CMP Cleaning: Interaction between Particles and Surfaces Post-CMP Cleaning: Interaction between Particles and Surfaces J.-G. Park and T.-G. Kim Department of Materials Engineering, Hanyang University, Ansan, 426-791, South Korea E-mail: jgpark@hanyang.ac.kr

More information

A Production-Proven Shallow Trench Isolation (STI) Solution Using Novel CMP Concepts*

A Production-Proven Shallow Trench Isolation (STI) Solution Using Novel CMP Concepts* A Production-Proven Shallow Trench Isolation (STI) Solution Using Novel CMP Concepts* Raymond R. Jin, Jeffrey David, Bob Abbassi, Tom Osterheld, Fritz Redeker Applied Materials, 3111 Coronado Drive, M/S

More information

Jianfeng Luo and David A. Dornfeld. I. INTRODUCTION THE MATERIAL removal rate (MRR) in the solid solid

Jianfeng Luo and David A. Dornfeld. I. INTRODUCTION THE MATERIAL removal rate (MRR) in the solid solid IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 16, NO. 1, FEBRUARY 2003 45 Material Removal Regions in Chemical Mechanical Planarization for Submicron Integrated Circuit Fabrication: Coupling Effects

More information

Czochralski Crystal Growth

Czochralski Crystal Growth Czochralski Crystal Growth Crystal Pulling Crystal Ingots Shaping and Polishing 300 mm wafer 1 2 Advantage of larger diameter wafers Wafer area larger Chip area larger 3 4 Large-Diameter Wafer Handling

More information

TRIBO-CHEMICAL MECHANISMS AND MODELING IN COPPER CMP

TRIBO-CHEMICAL MECHANISMS AND MODELING IN COPPER CMP 1 TRIBO-CHEMICAL MECHANISMS AND MODELING IN COPPER CMP Fiona M. Doyle and Shantanu Tripathi* University of California at Berkeley Department of Materials Science and Engineering 210 Hearst Mining Building

More information

Advanced STI CMP Solutions for New Device Technologies

Advanced STI CMP Solutions for New Device Technologies Advanced STI CMP Solutions for New Device Technologies Jeffrey David, Benjamin A. Bonner, Thomas H. Osterheld, Raymond R. Jin Applied Materials, 3111 Coronado Drive, M/S 1510, Santa Clara, CA 95054 (408)986-3277

More information

SLURRY FORMULATION OPTIONS

SLURRY FORMULATION OPTIONS SLURRY FORMULATION OPTIONS CHALLENGES FOR DEFECT REDUCTION IN CU,Ta/TaN AND Ru PLANARIZATION S. V. Babu Center for Advanced Materials Processing, 1 Clarkson University (www.clarkson.edu/camp) Acknowledgments

More information

APPENDIX B THE MECHANICAL POLISHING PROCESS BASED ON PIN-ON-DISK EXPERIMENTS

APPENDIX B THE MECHANICAL POLISHING PROCESS BASED ON PIN-ON-DISK EXPERIMENTS APPENDIX B THE MECHANICAL POLISHING PROCESS BASED ON PIN-ON-DISK EXPERIMENTS In many works on the material removal in the Chemical Mechanical Process (CMP), the effects of several process parameters have

More information

MEMS Devices. Fraunhofer Institute for Silicon Technology ISIT. Itzehoe, Germa. any

MEMS Devices. Fraunhofer Institute for Silicon Technology ISIT. Itzehoe, Germa. any Examples of CMP Processess for the Manufacturing of MEMS Devices Gerfried Zwicke er Fraunhofer Institute for Silicon Technology ISIT Itzehoe, Germa any gerfried.zwicker@isit.fraunhofer.de Contents MEMS

More information

1.1 Background Cu Dual Damascene Process and Cu-CMP

1.1 Background Cu Dual Damascene Process and Cu-CMP Chapter I Introduction 1.1 Background 1.1.1 Cu Dual Damascene Process and Cu-CMP In semiconductor manufacturing, we always directed toward adding device speed and circuit function. Traditionally, we focused

More information

Properties and Barrier Material Interactions of Electroless Copper used for Seed Enhancement

Properties and Barrier Material Interactions of Electroless Copper used for Seed Enhancement Mat. Res. Soc. Symp. Proc. Vol. 766 2003 Materials Research Society E1.4.1 Properties and Barrier Material Interactions of Electroless Copper used for Seed Enhancement C. Witt a,b,k.pfeifer a,c a International

More information

CMP for Thru-Silicon Vias TSV Overview & Examples March 2009

CMP for Thru-Silicon Vias TSV Overview & Examples March 2009 CMP for Thru-Silicon Vias TSV Overview & Examples March 2009 Packaging Evolution Source: Yole Dev 2007 2 3D Integration Source: Yole Dev 2007 Growth rates for 3D integration Flash continues to drive the

More information

Physicochemical Modeling of Copper Chemical Mechanical Planarization (CMP) Considering Synergies in Removal Materials.

Physicochemical Modeling of Copper Chemical Mechanical Planarization (CMP) Considering Synergies in Removal Materials. Physicochemical Modeling of Copper Chemical Mechanical Planarization (CMP) Considering Synergies in Removal Materials By Seungchoun Choi A dissertation submitted in partial satisfaction of the requirements

More information

Chapter 2: Mechanical Behavior of Materials

Chapter 2: Mechanical Behavior of Materials Chapter : Mechanical Behavior of Materials Definition Mechanical behavior of a material relationship - its response (deformation) to an applied load or force Examples: strength, hardness, ductility, stiffness

More information

ECE321 Electronics I

ECE321 Electronics I ECE321 Electronics I Lecture 19: CMOS Fabrication Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Tuesday 2:00-3:00PM or by appointment E-mail: payman@ece.unm.edu Slide: 1 Miller Effect Interconnect

More information

Manufacturing. Continued

Manufacturing. Continued Manufacturing 213 Manufacturing Advanced Run by Run Control for Epitaxial Silicon Deposition Thermal Imaging Sensor for CMP Endpoint Detection and Uniformity Control Characterization of Wafer Bonding in

More information

Abstract. Next-Generation CMP Pad Conditioning. Introduction DEVELOPMENT AND PERFORMANCE DATA OF A NEW CVD DIAMOND CMP PAD CONDITIONER

Abstract. Next-Generation CMP Pad Conditioning. Introduction DEVELOPMENT AND PERFORMANCE DATA OF A NEW CVD DIAMOND CMP PAD CONDITIONER APPLICATION NOTE DEVELOPMENT AND PERFORMANCE DATA OF A NEW CVD DIAMOND CMP PAD CONDITIONER Authors: Rakesh K. Singh, Andrew Galpin, Christopher Vroman Abstract Characteristics of a revolutionary new design

More information

Chemical Mechanical Planarization STACK TRECK. SPCC 2017 Viorel Balan

Chemical Mechanical Planarization STACK TRECK. SPCC 2017 Viorel Balan Chemical Mechanical Planarization STACK TRECK Viorel.balan@cea.fr > Red 50 is years The of New Moore s Blue Law Stacking Is The New Scaling 2 Lithography Enables Scaling / CMP Enables Stacking Building

More information

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica Microelettronica Planar Technology for Silicon Integrated Circuits Fabrication 26/02/2017 A. Neviani - Microelettronica Introduction Simplified crosssection of an nmosfet and a pmosfet Simplified crosssection

More information

IC Fabrication Technology Part III Devices in Semiconductor Processes

IC Fabrication Technology Part III Devices in Semiconductor Processes EE 330 Lecture 10 IC Fabrication Technology Part III Metalization and Interconnects Parasitic Capacitances Back-end Processes Devices in Semiconductor Processes Resistors Diodes Review from Last Lecture

More information

NCAVS CMPUG Meeting July 16, 2009

NCAVS CMPUG Meeting July 16, 2009 CMP OPTIMIZATION AND CONTROL THROUGH REAL-TIME ANALYSIS OF PROCESS EFFLUENTS S.J. Benner and D.W Peters Allentown, PA 18106 610-395-7840 dwpeters@confluense.com www.confluense.com Outline Introduction

More information

Fabrication and application of high quality diamond coated. CMP pad conditioners

Fabrication and application of high quality diamond coated. CMP pad conditioners Fabrication and application of high quality diamond coated CMP pad conditioners Hua Wang 1,a, Fanghong Sun 1,b* 1 School of Mechanical Engineering, Shanghai Jiao Tong University, Shanghai, 200240, China

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time Etching Dry etch (anisotropic) SiO

More information

Evolution and Revolution of Cerium Oxide Slurries in CMP

Evolution and Revolution of Cerium Oxide Slurries in CMP Evolution and Revolution of Cerium Oxide Slurries in CMP David Merricks, Brian Santora, Bob Her, Craig Zedwick Ferro Electronic Material Systems 1789 Transelco Drive Penn Yan, NY 14527, USA merricksd@ferro.com

More information

CMP MARKETS & VALUE CHAIN PERSPECTIVES

CMP MARKETS & VALUE CHAIN PERSPECTIVES CMP MARKETS & VALUE CHAIN PERSPECTIVES MICHAEL CORBETT MANAGING PARTNER LINX CONSULTING MCORBETT@LINX-CONSULTING.COM A PRESENTATION FOR THE CMP USERS GROUP JULY 17, 2007 LINX CONSULTING OUTLINE 1. Introduction

More information

Fixed Abrasives and Selective Chemistries: Some Real Advantages for Direct STI CMP

Fixed Abrasives and Selective Chemistries: Some Real Advantages for Direct STI CMP Fixed Abrasives and Selective Chemistries: Some Real Advantages for Direct STI CMP John Gagliardi, Richard Webb, Chris Rueb - 3M Corporation Greg Menk, Pete McReynolds, Gopal Prabhu, Tom Osterheld - Applied

More information

CMP COST ISSUES & IMPACT ON CONSUMABLES FOR MEMORY AND LOGIC

CMP COST ISSUES & IMPACT ON CONSUMABLES FOR MEMORY AND LOGIC CMP COST ISSUES & IMPACT ON CONSUMABLES FOR MEMORY AND LOGIC CMPUG @CNSE April 16, 2016 Mike Corbett Managing Partner mcorbett@linx-consulting.com Agenda INTRODUCTION TO LINX CONSULTING SEMI INDUSRTY OUTLOOK

More information

Mesostructure Evolution: Multiscale Process and Materials Modeling in Microelectronics

Mesostructure Evolution: Multiscale Process and Materials Modeling in Microelectronics Mesostructure : Multiscale and Materials Modeling in Microelectronics Timothy S. Cale School of Materials, Arizona State University timothy.cale@gmail.com www.process-evolution.com Goals Show results of

More information

Process Improvement Projects May 2006 Dr. Lynn Fuller

Process Improvement Projects May 2006 Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Process Improvement Projects May 2006 Dr. Lynn Fuller 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Fax (585) 475-5041

More information

Cu electroplating in advanced packaging

Cu electroplating in advanced packaging Cu electroplating in advanced packaging March 12 2019 Richard Hollman PhD Principal Process Engineer Internal Use Only Advancements in package technology The role of electroplating Examples: 4 challenging

More information

Pad Conditioners for FEOL Tungsten Plug and Metal Gate Applications. 3M TM Trizact TM. March 19, 2014

Pad Conditioners for FEOL Tungsten Plug and Metal Gate Applications. 3M TM Trizact TM. March 19, 2014 3M TM Trizact TM Pad Conditioners for FEOL Tungsten Plug and Metal Gate Applications March 19, 214 J. Zabasajja, V. Laraia, M. Fritz, J. Sokol and Junqing Xie 3M Electronics Markets Material Division,

More information

Advanced Metrology for Copper/Low-k Interconnects

Advanced Metrology for Copper/Low-k Interconnects Advanced Metrology for Copper/Low-k Interconnects Executive Summary The semiconductor industry s continued push to reduce feature size and increase circuit speed has resulted in a global race to reinvent

More information

Michael P. Roberts. June Signature of Author: Department of Mechanical Engineering May 6,2011

Michael P. Roberts. June Signature of Author: Department of Mechanical Engineering May 6,2011 Scratching by Pad Asperities in Chemical Mechanical Polishing by Michael P. Roberts SUBMITTED TO THE DEPARTMENT OF MECHANICAL ENGINEERING IN PARTIAL FULFILLMENT OF THE REQUIREMENTS FOR THE DEGREE OF BACHELOR

More information

CMP Scratches; Their Detection and Analysis on Root Causes

CMP Scratches; Their Detection and Analysis on Root Causes 6 th LEVITRONIX CMP and Ultrapure Conference The Westin Park Central, Dallas, Texas May 11-12, 2011 CMP Scratches; Their Detection and Analysis on Root Causes Jin-Goo Park May 11, 2011 Department of Materials

More information

EE 330 Lecture 12. Devices in Semiconductor Processes

EE 330 Lecture 12. Devices in Semiconductor Processes EE 330 Lecture 12 Devices in Semiconductor Processes Review from Lecture 9 Copper Interconnects Limitations of Aluminum Interconnects Electromigration Conductivity not real high Relevant Key Properties

More information

CMP challenges in sub-14nm FinFET and RMG technologies

CMP challenges in sub-14nm FinFET and RMG technologies CMP challenges in sub-14nm FinFET and RMG technologies Tae Hoon Lee*, Hong Jin Kim, Venugopal Govindarajulu, Gerett Yocum & Jason Mazzotti Advanced Module Engineering NCCAVS CMPUG Spring Meeting 2016 Contents

More information

New Applications for CMP: Solving the Technical and Business Challenges. Robert L. Rhoades, Ph.D. NSTI Conference (Houston, TX) May 5, 2009

New Applications for CMP: Solving the Technical and Business Challenges. Robert L. Rhoades, Ph.D. NSTI Conference (Houston, TX) May 5, 2009 New Applications for CMP: Solving the Technical and Business Challenges Robert L. Rhoades, Ph.D. NSTI Conference (Houston, TX) May 5, 2009 Outline Background and Business Climate for CMP Technical Approach

More information

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials Interconnects Outline Interconnect scaling issues Aluminum technology Copper technology 1 Properties of Interconnect Materials Metals Silicides Barriers Material Thin film Melting resistivity point ( C)

More information

Outline. Interconnect scaling issues Polycides, silicides and metal gates Aluminum technology Copper technology

Outline. Interconnect scaling issues Polycides, silicides and metal gates Aluminum technology Copper technology Outline Interconnect scaling issues Polycides, silicides and metal gates Aluminum technology Copper technology Wire Half Pitch vs Technology Node ITRS 2002 Narrow line effects Ref: J. Gambino, IEDM, 2003

More information

CMP Process Development for Shallow Trench Isolation (STI)

CMP Process Development for Shallow Trench Isolation (STI) CMP Process Development for Shallow Trench Isolation (STI) Robert A. Seifridge Microelectronic Engineering Rochester Institute of Technology Rochester, NY 14623 Abstract Tool characterization and optimization

More information

CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node

CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node UMC/ ATD_AM / CMP Department T. C. Tsai, W. C. Tsao, Welch Lin, C. L. Hsu, C. L. Lin, C. M. Hsu, J. F. Lin, C. C.

More information

Effect of Surfactant on Package Substrate in Chemical Mechanical Planarization

Effect of Surfactant on Package Substrate in Chemical Mechanical Planarization INTERNATIONAL JOURNAL OF PRECISION ENGINEERING AND MANUFACTURING-GREEN TECHNOLOGY Vol. 2, No. 1, pp. 59-63 JANUARY 2015 / 59 10.1007/s40684-015-0008-9 Effect of Surfactant on Package Substrate in Chemical

More information

CMP Applications and Issues Part 3

CMP Applications and Issues Part 3 CMP Applications and Issues Part 3 By Christopher Henderson This is the third and final part in a series on Chemical Mechanical Polishing (CMP) applications and issues. An important aspect of CMP is end

More information

New Applications of Chemical Mechanical Planarization

New Applications of Chemical Mechanical Planarization New Applications of Chemical Mechanical Planarization Robert L. Rhoades, Ph.D. Semiconductor Equipment Spare Parts and Service CMP Foundry AVS Joint Meeting San Jose, CA Feb 19, 2015 Welcome to Entrepix

More information

Polishing of Bulk Micro-Machined Substrates by Fixed Abrasive Pads for Smoothing and Planarization of MEMS Structures

Polishing of Bulk Micro-Machined Substrates by Fixed Abrasive Pads for Smoothing and Planarization of MEMS Structures PAPER D Polishing of Bulk Micro-Machined Substrates by Fixed Abrasive Pads for Smoothing and Planarization of MEMS Structures In: Proceedings of IEEE/SEMI Advanced Semiconductors Manufacturing Conference

More information

Development of a New Tungsten Pad Utilizing Outsource CMP Capabilities

Development of a New Tungsten Pad Utilizing Outsource CMP Capabilities Development of a New Tungsten Pad Utilizing Outsource CMP Capabilities by Robert L. Rhoades; Entrepix, Inc., John Bare, Anthony J. Clark, and Ed Atkinson; psiloquest, Inc. Presented to CMP-MIC 2005 Summary

More information

Modeling of Local Oxidation Processes

Modeling of Local Oxidation Processes Introduction Isolation Processes in the VLSI Technology Main Aspects of LOCOS simulation Athena Oxidation Models Several Examples of LOCOS structures Calibration of LOCOS effects using VWF Field Oxide

More information

Center for Tribology, Inc. COMPREHENSIVE MATERIALS TESTING FOR MECHANICAL AND TRIBOLOGICAL PROPERTIES

Center for Tribology, Inc. COMPREHENSIVE MATERIALS TESTING FOR MECHANICAL AND TRIBOLOGICAL PROPERTIES C ET R WWW.CETR.COM Center for Tribology, Inc. COMPREHENSIVE MATERIALS TESTING FOR MECHANICAL AND TRIBOLOGICAL PROPERTIES Micro/Nano Indentation Micro/Nano Scratch Wear Friction Lubrication Environmental

More information

CHEMICAL AND ELECTROCHEMICAL CHARACTERIZATION OF PEROXIDE- INDUCED PASSIVATION OF COPPER IN AQUEOUS GLYCINE SOLUTIONS

CHEMICAL AND ELECTROCHEMICAL CHARACTERIZATION OF PEROXIDE- INDUCED PASSIVATION OF COPPER IN AQUEOUS GLYCINE SOLUTIONS Proceedings VMIC 23 (Twentieth Int. VLSI Multilevel Interconnection Conf), Marina Del Rey, CA, Sept. 23, pp. 267-276. CHEMICAL AND ELECTROCHEMICAL CHARACTERIZATION OF PEROXIDE- INDUCED PASSIVATION OF COPPER

More information

Process optimization and consumable development for Chemical Mechanical Planarization (CMP) processes

Process optimization and consumable development for Chemical Mechanical Planarization (CMP) processes University of South Florida Scholar Commons Graduate Theses and Dissertations Graduate School 2007 Process optimization and consumable development for Chemical Mechanical Planarization (CMP) processes

More information

Synergy between chemical dissolution and mechanical abrasion during chemical mechanical polishing of copper

Synergy between chemical dissolution and mechanical abrasion during chemical mechanical polishing of copper Retrospective Theses and Dissertations 2005 Synergy between chemical dissolution and mechanical abrasion during chemical mechanical polishing of copper Wei Che Iowa State University Follow this and additional

More information

Advanced pad conditioner design for Oxide/Metal CMP

Advanced pad conditioner design for Oxide/Metal CMP Advanced pad conditioner design for Oxide/Metal CMP Taewook Hwang*, Gary Baldoni, Anand Tanikella, Thomas Puthanangady Saint-Gobain High Performance Materials 9 Goddard Road, Northboro, MA 153, USA E-mail

More information

Effect of Feature Dimensions/Spacing and Dielectric Thickness on CMP Process Performance

Effect of Feature Dimensions/Spacing and Dielectric Thickness on CMP Process Performance Effect of Feature Dimensions/Spacing and Dielectric Thickness on CMP Process Performance Andrey Zagrebelny*, Steven Hedayati, Frederick Eisenmann, Yitzhak Gilboa, Cypress Semiconductor Inc. 1 Abstract

More information

Effect of temperature on copper chemical mechanical planarization

Effect of temperature on copper chemical mechanical planarization University of South Florida Scholar Commons Graduate Theses and Dissertations Graduate School 2007 Effect of temperature on copper chemical mechanical planarization Veera Raghava R Kakireddy University

More information

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley Microstructure of Electronic Materials Amorphous materials Single-Crystal Material 1 The Si Atom The Si Crystal diamond structure High-performance semiconductor devices require defect-free crystals 2 Crystallographic

More information

CMP Solutions for the Integration of High-K Metal Gate Technologies

CMP Solutions for the Integration of High-K Metal Gate Technologies CMP Solutions for the Integration of High-K Metal Gate Technologies J. M.. Dysard, V. Brusic, P. Feeney, S. Grumbine, K. Moeggenborg, G. Whitener, W. J. Ward, G. Burns, and K. Choi Cabot Microelectronics

More information

Section 4: Thermal Oxidation. Jaeger Chapter 3. EE143 - Ali Javey

Section 4: Thermal Oxidation. Jaeger Chapter 3. EE143 - Ali Javey Section 4: Thermal Oxidation Jaeger Chapter 3 Properties of O Thermal O is amorphous. Weight Density =.0 gm/cm 3 Molecular Density =.3E molecules/cm 3 O Crystalline O [Quartz] =.65 gm/cm 3 (1) Excellent

More information

Microtexture measurement of copper damascene line with EBSD

Microtexture measurement of copper damascene line with EBSD Material Science Forum Vols. 408-412(2002) pp. 529-534 2002 Trans Tech Publications, Switzerland Microtexture measurement of copper damascene line with EBSD Dong-Ik Kim 1*, Jong-Min Paik 1, Young-Chang

More information

Automated, reliable lapping and polishing systems make light work of hard silicon carbide and sapphire wafers

Automated, reliable lapping and polishing systems make light work of hard silicon carbide and sapphire wafers Automated, reliable lapping and polishing systems make light work of hard silicon carbide and sapphire wafers Author: Mark Kennedy www.logitech.uk.com Overview The lapping and polishing of wafers made

More information

Exam 1 Friday Sept 22

Exam 1 Friday Sept 22 Exam 1 Friday Sept 22 Students may bring 1 page of notes Next weeks HW assignment due on Wed Sept 20 at beginning of class No 5:00 p.m extension so solutions can be posted Those with special accommodation

More information

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI CMOS Technology Flow varies with process types & company N-Well CMOS Twin-Well CMOS STI Start with substrate selection Type: n or p Doping level, resistivity Orientation, 100, or 101, etc Other parameters

More information

Post Cleaning Chemical of Tungsten Chemical Mechanical Planarization for Memory Devices. Jun Yong Kim Cleaning/CMP Technology

Post Cleaning Chemical of Tungsten Chemical Mechanical Planarization for Memory Devices. Jun Yong Kim Cleaning/CMP Technology Post Cleaning Chemical of Tungsten Chemical Mechanical Planarization for Memory Devices Jun Yong Kim Cleaning/CMP Technology 1. CMP Process and Cleaning challenges 2. Problem Statement 3. Results of Cleaning

More information

Overview of Dual Damascene Cu/Low-k Interconnect

Overview of Dual Damascene Cu/Low-k Interconnect ERC Retreat Stanford: New Chemistries & Tools for scco 2 Processing of Thin Films Overview of Dual Damascene Cu/Low-k Interconnect P. Josh Wolf 1,4 - Program Manager, Interconnect Div. josh.wolf@sematech.org

More information

Mechanical Behavior of Flip Chip Packages under Thermal Loading

Mechanical Behavior of Flip Chip Packages under Thermal Loading Mechanical Behavior of Flip Packages under Thermal Loading *Shoulung Chen 1,2, C.Z. Tsai 1,3, Nicholas Kao 1,4, Enboa Wu 1 1 Institute of Applied Mechanics, National Taiwan University 2 Electronics Research

More information

Analysis of Large Pad Surface Contact Area in Copper CMP

Analysis of Large Pad Surface Contact Area in Copper CMP Analysis of Large Pad Surface Contact Area in Copper CMP X. Liao 1, Y. Zhuang 1,2, L. Borucki 2, Y. Sampurno 1,2 and A. Philipossian 1,2 1 University of Arizona, Tucson AZ USA 2 Araca Incorporated, Tucson

More information

CSCI 4974 / 6974 Hardware Reverse Engineering. Lecture 5: Fabrication processes

CSCI 4974 / 6974 Hardware Reverse Engineering. Lecture 5: Fabrication processes CSCI 4974 / 6974 Hardware Reverse Engineering Lecture 5: Fabrication processes QUIZ 3: CMOS layout Quiz Discussion Rationale If you know how something is put together, you can figure out how to take it

More information

Development of different copper seed layers with respect to the copper electroplating process

Development of different copper seed layers with respect to the copper electroplating process Microelectronic Engineering 50 (2000) 433 440 www.elsevier.nl/ locate/ mee Development of different copper seed layers with respect to the copper electroplating process a, a a b b b K. Weiss *, S. Riedel,

More information

Optimized CMP of ULK Dielectrics

Optimized CMP of ULK Dielectrics Optimized CMP of ULK Dielectrics Taek-Soo Kim Markus Ong Reinhold H. Dauskardt (dauskardt@stanford.edu) Collaborations: Tatsuya Yaman and Tomohisa Konno JSR Micro, Inc. Research supported by the SRC, DOE

More information

Chemical Mechanical Planarization of Ruthenium with Oxone as oxidizer

Chemical Mechanical Planarization of Ruthenium with Oxone as oxidizer Chemical Mechanical Planarization of Ruthenium with Oxone as oxidizer S. Noyel Victoria a, Josiah Jebaraj Johnley Muthuraj b, Ian Ivar Suni b,c,* and S. Ramanathan a,*,z a Department of Chemical Engineering,

More information

New Applications of CMP for Non-Traditional Semiconductor Manufacturing. Robert L. Rhoades, Ph.D. Entrepix, Inc.

New Applications of CMP for Non-Traditional Semiconductor Manufacturing. Robert L. Rhoades, Ph.D. Entrepix, Inc. New Applications of CMP for Non-Traditional Semiconductor Manufacturing Robert L. Rhoades, Ph.D. Entrepix, Inc. Outline Introduction New Applications of CMP MEMS Non-CMOS Devices New Materials Epitaxial

More information

Understanding and Reducing Copper Defects

Understanding and Reducing Copper Defects Understanding and Reducing Copper Defects Most high-performance logic manufacturers are by now developing, piloting or producing copper-based circuits. There are a number of companies that introduced copper

More information

Investigation of New Maglev Day-Tank Technology for Effective Slurry Dispersion and Distribution

Investigation of New Maglev Day-Tank Technology for Effective Slurry Dispersion and Distribution Investigation of New Maglev Day-Tank Technology for Effective Slurry Dispersion and Distribution Budge Johl and Hethel Porter 2008 4 th Annual Levitronix CMPUG Symposium Rohm and Haas Electronic Materials

More information

EE BACKEND TECHNOLOGY - Chapter 11. Introduction

EE BACKEND TECHNOLOGY - Chapter 11. Introduction 1 EE 212 FALL 1999-00 BACKEND TECHNOLOGY - Chapter 11 Introduction Backend technology: fabrication of interconnects and the dielectrics that electrically and physically separate them. Aluminum N+ Early

More information

CMP Process Development Techniques for New Materials. Robert L. Rhoades, Ph.D. ECS 213 th Meeting (Phoenix, AZ) May 19-21, 2008

CMP Process Development Techniques for New Materials. Robert L. Rhoades, Ph.D. ECS 213 th Meeting (Phoenix, AZ) May 19-21, 2008 CMP Process Development Techniques for New Materials Robert L. Rhoades, Ph.D. ECS 213 th Meeting (Phoenix, AZ) May 19-21, 2008 Outline Background and Industry Drivers Generalized Development Sequence CMP

More information

Summary of Selected EMCR650/731 Projects for Jeremiah Hebding Dr. Lynn Fuller

Summary of Selected EMCR650/731 Projects for Jeremiah Hebding Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Summary of Selected EMCR650/731 Projects for 2004-2005 Jeremiah Hebding Dr. Lynn Fuller Motorola Professor 82 Lomb Memorial Drive Rochester,

More information

Alternatives to Aluminium Metallization

Alternatives to Aluminium Metallization Alternatives to Aluminium Metallization Technological pressures on the speed and reliability of integrated circuits has caused a need for changes to be made in the choices of materials used for metallization

More information

Material based challenge and study of 2.1, 2.5 and 3D integration

Material based challenge and study of 2.1, 2.5 and 3D integration 1 Material based challenge and study of 2.1, 2.5 and 3D integration Toshihisa Nonaka Packaging Solution Center R&D Headquarters Hitachi Chemical Co., Ltd., Sep. 8, 2016 Hitachi Chemical Co., Ltd. 2010.

More information

Reliability Challenges for 3D Interconnects:

Reliability Challenges for 3D Interconnects: Reliability Challenges for 3D Interconnects: A material and design perspective Paul S. Ho Suk-Kyu Ryu, Kuan H. (Gary) Lu, Qiu Zhao, Jay Im and Rui Huang The University of Texas at Austin 3D Sematech Workshop,

More information

Importance of Monitoring Slurry and Ultrapure Chemical Flow in CMP Applications

Importance of Monitoring Slurry and Ultrapure Chemical Flow in CMP Applications Importance of Monitoring Slurry and Ultrapure Chemical Flow in CMP Applications Budge Johl, DOW Electronic Materials 2011 Levitronix Users Group Conference May 11, 2011 Introduction As device line widths

More information

TSV Interposer Process Flow with IME 300mm Facilities

TSV Interposer Process Flow with IME 300mm Facilities TSV Interposer Process Flow with IME 300mm Facilities Property of Institute of Microelectronics (IME)-Singapore August 17, 2012 Outline 1. TSV interposer (TSI) cross sectional schematic TSI with BEOL,

More information

DYNAMIC, IN-SITU PRESSURE MEASUREMENTS DURING CMP

DYNAMIC, IN-SITU PRESSURE MEASUREMENTS DURING CMP DYNAMIC, IN-SITU PRESSURE MEASUREMENTS DURING CMP A Thesis Presented to The Academic Faculty By Andrés Osorno In Partial Fulfillment Of the Requirements for the Degree Master of Science in Mechanical Engineering

More information