Characteristics of HfO 2 pmosfet with Ultrashallow Junction Prepared by Plasma Doping and Laser Annealing

Size: px
Start display at page:

Download "Characteristics of HfO 2 pmosfet with Ultrashallow Junction Prepared by Plasma Doping and Laser Annealing"

Transcription

1 Characteristics of HfO 2 pmosfet with Ultrashallow Junction Prepared by Plasma Doping and Laser Annealing Sungkweon Baek, Sungho Heo, and Hyunsang Hwang Dept. of Materials Science and Engineering Kwangju Institute of Science and Technology (KJIST) KOREA

2 Contents ESSDERC 2002 I. Introduction & Motivation - Ultra-shallow Junction - High-k dielectric gate oxide II.Experimental Procedure -Basic property -p + /n junction diode -HfO 2 capacitor -HfO 2 pmosfet III.Results & Discussion - Ultra-shallow Junction part Hall measurement Boron SIMS profile HRTEM analysis Leakage characteristics Xj-Rs relationship -HfO 2 capacitor part C-V & I-V characteristics C & I variation with laser -HfO 2 pmosfet part V D -I D characteristics V G -I D characteristics IV. Conclusions

3 Introduction & Motivation ESSDERC 2002 Device Scaling Ultrashallow Junction To increase packing density & operation speed High-k gate dielectric & Ultra-shallow junction Junction for the source and drain Shallow junction to avoid the short channel effect Low sheet resistance to improve current driving capability Requirements for ultrashallow junction High dose ion implantation at low implantation energy Complete activation without significant enhanced diffusion

4 Introduction & Motivation ESSDERC 2002 Doping method Ultrashallow Junction Advantages Low energy ion implantation Plasma immersion ion implant Gas immersion laser doping Solid phase diffusion Anneal & Activation Method Rapid thermal annealing Laser annealing Low temp. & long time anneal Microwave annealing Low cost Low energy & High dose rate Large implant area High throughput Uniform distribution Full activation

5 Introduction & Motivation ESSDERC 2002 High-k dielectric gate oxide The problem of sub-2nm thermal SiO 2 High tunneling leakage current (>10~1000A/cm 2 ) Uniformity issue (thickness variation vs. leakage) P + gate dopant penetration : V th variation Low quality SiO x (<1nm) layer formation High defect density & Low yield Requirements of high-k gate dielectric T ox <1.5nm, leakage current <100mA/cm 2 D it <1x10 11 /cm 2 ev (negligible mobility degradation) Negligible dispersion & hysteresis Reliability and lifetime > 10years Thermal stability

6 Introduction & Motivation ESSDERC 2002 Advantages of HfO 2 High-k dielectric gate oxide High dielectric constant (ε=30) & high bandgap (E g =5.68eV) Thermal stable in contact with Si : H=271kcal/mol Resistance to interface mixing Possibly epitaxial growth on Si (a=5.11å, a si =5.43Å) Can be wet etched by hydrofluoric acid Compatibility with polysilicon Motivation The advantages of plasma doping & laser annealing + the advantages of HfO 2 & metal gate Excellent electrical characteristics without high-k oxide degradation

7 Contents ESSDERC 2002 I. Introduction & Motivation - Ultra-shallow Junction - High-k dielectric gate oxide II.Experimental Procedure -Basic property -p + /n junction diode -HfO 2 capacitor -HfO 2 pmosfet III.Results & Discussion - Ultra-shallow Junction part Hall measurement Boron SIMS profile HRTEM analysis Leakage characteristics Xj-Rs relationship -HfO 2 capacitor part C-V & I-V characteristics C & I variation with laser -HfO 2 pmosfet part V D -I D characteristics V G -I D characteristics IV. Conclusions

8 Experimental Procedure Basic Property ESSDERC 2002 P + /N junction diode N-type SI (100) Standard Cleaning HF dip (100:1) B 2 H 6 plasma doping 500V, 1x10 16 /cm 2 Annealing RTA 900~1000 o C 10s LA 500mJ/cm 2, 1pulse KrF excimer laser FA 300~500 o C 5min (N 2 ) 2000Å thermal oxide Photolithography (active) B 2 H 6 plasma doping Activation Al-1% Si sputter 4000Å Photolithography Postmetallization anneal 450 o C 30min FA (forming)

9 Experimental Procedure ESSDERC 2002 HfO 2 capacitor Hf metal sputter 50W 10sec Ar 5sccm 600 o C 1min RTA for oxidation Photolithography Pt depo. By sputter Lift-off PMA 400 o C 30min (forming) HfO 2 pmosfet Thermal oxide 2000Å HfO 2 depo. & oxidation Al & Al/TaN sputter (4000Å) Gate patterning B 2 H 6 plasma doping Laser annealing 500mJ/cm 2, 1pulse Al-1% Si sputter (5000Å) PMA 400 o C 30min

10 Contents ESSDERC 2002 I. Introduction & Motivation - Ultra-shallow Junction - High-k dielectric gate oxide II.Experimental Procedure -Basic property -p + /n junction diode -HfO 2 capacitor -HfO 2 pmosfet III.Results & Discussion - Ultra-shallow Junction part Hall measurement Boron SIMS profile HRTEM analysis Leakage characteristics Xj-Rs relationship -HfO 2 capacitor part C-V & I-V characteristics C & I variation with laser -HfO 2 pmosfet part V D -I D characteristics V G -I D characteristics IV. Conclusions

11 Results & Discussion ESSDERC 2002 Sheet Resistance & Carrier Concentration Sheet resistance (Ohm/sq.) Control Laser Anneal Laser energy : 500 mj / cm 2 Pulse : 1 Preannealing time : 5min 300 o C 400 o C Preannealing temperature ( o C) 500 o C Carrier Conc. (1x10 15 /cm 2 ) Sheet resistance (Ohm/sq.) Rapid Thermal Anneal RTA temperature 900 o C 950 o C Annealing time (sec) Carrier conc. (x10 14 /cm 2 ) Low sheet resistance and high carrier concentration compared with RTA Compatible sheet resistance with a preannealing temp.

12 Results & Discussion ESSDERC 2002 Boron SIMS depth profiles Laser Anneal Rapid Thermal Anneal Boron Conc. (/cm 3 ) Laser energy : 500 mj / cm 2 Pulse : 1 as implant 300 o C 5min FA (N 2 ) 500 o C 5min FA (N 2 ) No preanneal + LA 300 o C 5min FA (N 2 ) + LA o C 5min FA (N 2 ) + LA Depth (Å) Boron Conc. ( / cm 3 ) as implant 900 o C 10sec RTA 950 o C 10sec RTA o C 30sec RTA 900 o C 1min RTA o C 30sec RTA 950 o C 1min RTA Depth ( A ) Shallower junction depth compared with RTA Decrease of junction depth with a preannealing temp.

13 Results & Discussion ESSDERC 2002 HRTEM Analysis As implant Laser anneal Preanneal + Laser anneal RTA 900 o C 10s As implant defect-rich Only laser anneal cannot remove all defects Preanneal + LA defect-free 900 o C 10s RTA some defects are remained

14 Results & Discussion ESSDERC 2002 Leakage Current Characteristics Laser Anneal Rapid Thermal Anneal Cumulative probability ( % ) Area = 10-4 cm 2 Laser energy : 500mJ/cm 2 Pulse : o C 5min FA (N 2 ) No preannealing Leakage current at -2V ( A ) Cumulative probability (%) 100 Area = 10-4 cm o C 10sec RTA 900 o C 10sec RTA 950 o C 10sec RTA 1E-12 1E-11 1E-10 1E-9 1E-8 Leakage current at -2V (A) More uniform and lower leakage current compared with RTA annealed diode

15 Results & Discussion ESSDERC 2002 Xj Rs Relationship Sheet resistance (Ohm/sq.) Laser annealing 950 o C RTA 900 o C RTA Junction depth (A) The improvement of junction characteristics by excimer laser annealing

16 Contents ESSDERC 2002 I. Introduction & Motivation - Ultra-shallow Junction - High-k dielectric gate oxide II.Experimental Procedure -Basic property -p + /n junction diode -HfO 2 capacitor -HfO 2 pmosfet III.Results & Discussion - Ultra-shallow Junction part Hall measurement Boron SIMS profile HRTEM analysis Leakage characteristics Xj-Rs relationship -HfO 2 capacitor part C-V & I-V characteristics C & I variation with laser -HfO 2 pmosfet part V D -I D characteristics V G -I D characteristics IV. Conclusions

17 Results & Discussion ESSDERC 2002 HfO 2 capacitor C-V & I-V Characteristics Capacitance-Voltage Current-Voltage Capacitance ( F ) 18.0p 15.0p 12.0p 9.0p 6.0p 3.0p 0.0 Al Al / TaN TaN Pt Area : 9 x 10-6 cm 2 Frequency : 1MHz Gate current ( A ) Al / TaN Pt Al TaN Area : 9 x 10-6 cm Gate bias ( V ) Gate bias (V) Using the various electrode, the C-V & I-V characteristics of HfO 2 capacitor were evaluated.

18 Results & Discussion ESSDERC 2002 Capacitance & Leakage current variation -2.5V ( F ) 18.0p 15.0p 12.0p 9.0p 6.0p 3.0p 0.0 Pt Al / TaN Al TaN Leakage current ( A ) TaN Pt Fail Al / TaN Al control Laser energy ( mj / cm 2 ) control Laser energy ( mj / cm 2 ) 700 Pt gradual degradation in capacitance & leakage current Al stable with laser energy, interaction with high-k oxide Al/TaN stable up to 500mJ/cm 2, optimum electrode (capacitance, leakage current, interaction, laser reflection)

19 Results & Discussion ESSDERC 2002 HfO 2 pmosfet V D -I D Charactersitics Darin current ( A ) µ -80.0µ -60.0µ -40.0µ -20.0µ 0.0 Al/TaN electrode PMOSFET W / L = 30 / 20 µm V g = -1.5 V -1.2 V -0.9 V -0.6 V -0.3 V Darin current ( A ) -14.0µ -12.0µ -10.0µ -8.0µ -6.0µ -4.0µ -2.0µ 0.0 PMOSFET Al electrode W / L = 30 / 20 µm V g = -2.2 V -1.9 V -1.6 V -1.3 V -1.0 V Drain bias ( V ) Drain bias ( V ) Compared with Al electrode, Al/TaN electrode pmosfet shows higher drive current

20 Results & Discussion ESSDERC 2002 HfO 2 pmosfet V G -I D Characteristics Al/TaN electrode Al electrode Drain current ( A ) PMOSFET W / L = 30 / 20 µm S. S. = 75 mv / dec V d = -0.5 V Drain Current ( A ) PMOSFET W / L = 30 / 20 µm V d = 0.5V S. S. = 88 mv / dec Gate bias ( V ) Gate bias ( V ) Subthreshold swing Al/TaN electrode : 75mV/dec. Al electrode : 88mV/dec.

21 Conclusions ESSDERC 2002 HfO 2 pmosfets were demonstrated with ultra-shallow junction prepared by plasma doping and excimer laser annealing The junction depth of 20nm and sheet resistance of 230Ω/ can be obtained by preannealing at 500 o C followed by laser annealing at 500mJ/cm 2 Combination with HfO 2 and Al/TaN PMOSFETs fabricated by plasma doping and laser annealing show reasonable I-V characteristics Process compatibility for future sub-0.1um CMOS technology

Development of Silicon Pad and Strip Detector in High Energy Physics

Development of Silicon Pad and Strip Detector in High Energy Physics XXI DAE-BRNS High Energy Physics Symposium 2014, IIT Guwahati Development of Silicon Pad and Strip Detector in High Energy Physics Manoj Jadhav Department of Physics I.I.T. Bombay 2 Manoj Jadhav, IIT Bombay.

More information

Junction formation in Ge by coimplant. and pre-heating techniques

Junction formation in Ge by coimplant. and pre-heating techniques Junction formation in Ge by coimplant. and pre-heating techniques Takashi Kuroi Nissin Ion Equipment Co., Ltd. 0 Content Introduction Purpose and Motivation Experimental Acceptor impurity implanted Germanium

More information

Annealing Effect on the Electrical Properties of La 2 O 3 /InGaAs MOS Capacitors

Annealing Effect on the Electrical Properties of La 2 O 3 /InGaAs MOS Capacitors Annealing Effect on the Electrical Properties of /InGaAs MOS Capacitors T. Kanda a, D. Zade a, Y. -C. Lin b, K. Kakushima a, P. Ahmet a, K. Tsutsui a, A. Nishiyama a, N. Sugii a, E. Y. Chang b, K. Natori

More information

Effect of annealing temperature on the electrical properties of HfAlO thin films. Chun Lia, Zhiwei Heb*

Effect of annealing temperature on the electrical properties of HfAlO thin films. Chun Lia, Zhiwei Heb* International Forum on Energy, Environment and Sustainable Development (IFEESD 2016) Effect of annealing temperature on the electrical properties of HfAlO thin films Chun Lia, Zhiwei Heb* Department of

More information

Wet Processing Techniques for Achieving Ultra-shallow Junctions in Future CMOS Devices

Wet Processing Techniques for Achieving Ultra-shallow Junctions in Future CMOS Devices Accelerating the next technology revolution Wet Processing Techniques for Achieving Ultra-shallow Junctions in Future CMOS Devices Joel Barnett, Richard Hill, Chris Hobbs and Prashant Majhi 07-October-2010

More information

Czochralski Crystal Growth

Czochralski Crystal Growth Czochralski Crystal Growth Crystal Pulling Crystal Ingots Shaping and Polishing 300 mm wafer 1 2 Advantage of larger diameter wafers Wafer area larger Chip area larger 3 4 Large-Diameter Wafer Handling

More information

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI CMOS Technology Flow varies with process types & company N-Well CMOS Twin-Well CMOS STI Start with substrate selection Type: n or p Doping level, resistivity Orientation, 100, or 101, etc Other parameters

More information

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process Chapter 3 A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process 3.1 Introduction Low-temperature poly-si (LTPS) TFTs

More information

MOS Front-End. Field effect transistor

MOS Front-End. Field effect transistor MOS Front-End Back-end Transistor Contact Front-end p-well STI n-well Front-end-of-line includes substrate, isolation, wells, transistor, silicide Field effect transistor MOSFET: Metal-Oxide-Semiconductor

More information

A Proposal of Schottky Barrier Height Tuning Method with Interface controlled Ni/Si stacked Silicidation Process

A Proposal of Schottky Barrier Height Tuning Method with Interface controlled Ni/Si stacked Silicidation Process 222 nd ECS Meeting A Proposal of Schottky arrier Height Tuning Method with Interface controlled Ni/Si stacked Silicidation Process Y. Tamura 1, R. Yoshihara 1, K. Kakushima 2, P. Ahmet 1, Y. Kataoka 2,

More information

ELEC 7364 Lecture Notes Summer Si Oxidation. by STELLA W. PANG. from The University of Michigan, Ann Arbor, MI, USA

ELEC 7364 Lecture Notes Summer Si Oxidation. by STELLA W. PANG. from The University of Michigan, Ann Arbor, MI, USA ELEC 7364 Lecture Notes Summer 2008 Si Oxidation by STELLA W. PANG from The University of Michigan, Ann Arbor, MI, USA Visiting Professor at The University of Hong Kong The University of Michigan Visiting

More information

Amorphous and Polycrystalline Thin-Film Transistors

Amorphous and Polycrystalline Thin-Film Transistors Part I Amorphous and Polycrystalline Thin-Film Transistors HYBRID AMORPHOUS AND POLYCRYSTALLINE SILICON DEVICES FOR LARGE-AREA ELECTRONICS P. Mei, J. B. Boyce, D. K. Fork, G. Anderson, J. Ho, J. Lu, Xerox

More information

Process Integration. NMOS Generic NMOS Process Flow. CMOS - The MOSIS Process Flow

Process Integration. NMOS Generic NMOS Process Flow. CMOS - The MOSIS Process Flow Process Integration Self-aligned Techniques LOCOS- self-aligned channel stop Self-aligned Source/Drain Lightly Doped Drain (LDD) Self-aligned silicide (SALICIDE) Self-aligned oxide gap MEMS Release Techniques

More information

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica Microelettronica Planar Technology for Silicon Integrated Circuits Fabrication 26/02/2017 A. Neviani - Microelettronica Introduction Simplified crosssection of an nmosfet and a pmosfet Simplified crosssection

More information

Process Integration. MEMS Release Techniques Sacrificial Layer Removal Substrate Undercut

Process Integration. MEMS Release Techniques Sacrificial Layer Removal Substrate Undercut Process Integration Self-aligned Techniques LOCOS- self-aligned channel stop Self-aligned Source/Drain Lightly Doped Drain (LDD) Self-aligned silicide (SALICIDE) Self-aligned oxide gap MEMS Release Techniques

More information

2. High Efficiency Crystalline Si Solar Cells

2. High Efficiency Crystalline Si Solar Cells 2 High Efficiency Crystalline Si Solar Cells Students: Karthick Murukesan, Sandeep S S, Meenakshi Bhaisare, Bandana Singha, Kalaivani S and Ketan Warikoo Faculty members: Anil Kottantharayil, B M Arora,

More information

Isolation Technology. Dr. Lynn Fuller

Isolation Technology. Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Isolation Technology Dr. Lynn Fuller Motorola Professor 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Fax (585) 475-5041

More information

EE 143 CMOS Process Flow

EE 143 CMOS Process Flow EE 143 CMOS rocess Flow CT 84 D D G Sub G Sub S S G D S G D S + + + + - MOS Substrate Well - MOS Substrate EE 143 CMOS rocess Flow CT 85 hotoresist Si 3 4 SiO 2 Substrate selection: moderately high resistivity,

More information

Schottky-Barrier-Height Modulation of Ni Silicide/Si Contacts by Insertion of Thin Er or Pt Layers

Schottky-Barrier-Height Modulation of Ni Silicide/Si Contacts by Insertion of Thin Er or Pt Layers Schottky-Barrier-Height Modulation of Ni Silicide/Si Contacts by Insertion of Thin Er or Pt Layers Yoshihisa Ohishi 1, Kohei Noguchi 1, Kuniyuki Kakushima 2, Parhat Ahmet 1, Kazuo Tsutsui 2, Nobuyuki Sugii

More information

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook:

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook: HOMEWORK 4 and 5 March 15, 2009 Homework is due on Monday March 30, 2009 in Class. Chapter 7 Answer the following questions from the Course Textbook: 7.2, 7.3, 7.4, 7.5, 7.6*, 7.7, 7.9*, 7.10*, 7.16, 7.17*,

More information

Chapter 2 Problems. The CMOS technology we need to realize is shown below, from Figure 1-34 in the text. S P + N P + N WELL P +

Chapter 2 Problems. The CMOS technology we need to realize is shown below, from Figure 1-34 in the text. S P + N P + N WELL P + Chapter 2 roblems 2.1 Sketch a process flow that would result in the structure shown in Figure 1-34 by drawing a series of drawings similar to those in this chapter. You only need to describe the flow

More information

EE 143 MICROFABRICATION TECHNOLOGY FALL 2014 C. Nguyen PROBLEM SET #9

EE 143 MICROFABRICATION TECHNOLOGY FALL 2014 C. Nguyen PROBLEM SET #9 Issued: Tuesday, Nov. 11, 2014 PROLEM SET #9 Due: Wednesday, Nov. 19, 2010, 8:00 a.m. in the EE 143 homework box near 140 Cory 1. The following pages comprise an actual pwell CMOS process flow with poly-to-poly

More information

RECENTLY, p poly-si was recommended as the gate

RECENTLY, p poly-si was recommended as the gate IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 45, NO. 8, AUGUST 1998 1737 Argon Ion-Implantation on Polysilicon or Amorphous-Silicon for Boron Penetration Suppression in p pmosfet Lurng Shehng Lee and Chung

More information

CMOS FABRICATION. n WELL PROCESS

CMOS FABRICATION. n WELL PROCESS CMOS FABRICATION n WELL PROCESS Step 1: Si Substrate Start with p- type substrate p substrate Step 2: Oxidation Exposing to high-purity oxygen and hydrogen at approx. 1000 o C in oxidation furnace SiO

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

Ultra-Shallow Junction Formation on 3D Silicon and Germanium Device Structures by Ion Energy Decoupled Plasma Doping

Ultra-Shallow Junction Formation on 3D Silicon and Germanium Device Structures by Ion Energy Decoupled Plasma Doping Ultra-Shallow Junction Formation on 3D Silicon and Germanium Device Structures by Ion Energy Decoupled Plasma Doping YS Kim & Hyuckjun Kown CTD, Lam Research 2017 Lam Research Corp. EAG 2017 1 Overview

More information

Quarterly Report EPRI Agreement W

Quarterly Report EPRI Agreement W Quarterly Report EPRI Agreement W08069-07 PI: S.J. Pearton, University of Florida (Co-investigators F. Ren, C.R. Abernathy, R.K. Singh, P.H. Holloway, T.J. Anderson, M. Berding, A. Sher, S. Krishnimurthy,

More information

Doping and Oxidation

Doping and Oxidation Technische Universität Graz Institute of Solid State Physics Doping and Oxidation Franssila: Chapters 13,14, 15 Peter Hadley Technische Universität Graz Institute of Solid State Physics Doping Add donors

More information

ALD of Scandium Oxide from Tris(N,N -diisopropylacetamidinato)scandium and Water

ALD of Scandium Oxide from Tris(N,N -diisopropylacetamidinato)scandium and Water ALD of Scandium Oxide from Tris(N,N -diisopropylacetamidinato)scandium and Water Philippe P. de Rouffignac, Roy G. Gordon Dept. of Chemistry,, Cambridge, MA gordon@chemistry.harvard.edu (617) 495-4017

More information

X-Ray Reflectivity Study of Hafnium Silicate Thin Films Prepared by Thermal Chemical Vapor Deposition

X-Ray Reflectivity Study of Hafnium Silicate Thin Films Prepared by Thermal Chemical Vapor Deposition X-Ray Reflectivity Study of Hafnium Silicate Thin Films Prepared by Thermal Chemical Vapor Deposition Hideyuki YAMAZAKI, Advanced LSI Technology Laboratory, Toshiba Corporation hideyuki.yamazaki@toshiba.co.jp

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time IC Fabrication Technology Crystal Preparation

More information

Study on the hydrogenated ZnO-based thin film transistors

Study on the hydrogenated ZnO-based thin film transistors Final Report Study on the hydrogenated ZnO-based thin film transistors To Dr. Gregg Jessen Asian Office of Aerospace Research & Development April 30th, 2011 Jae-Hyung Jang School of Information and Communications

More information

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005 3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005 1) This is an open book, take-home quiz. You are not to consult with other class members or anyone else. You may discuss the

More information

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley Microstructure of Electronic Materials Amorphous materials Single-Crystal Material 1 The Si Atom The Si Crystal diamond structure High-performance semiconductor devices require defect-free crystals 2 Crystallographic

More information

Electrical characteristics of Gd 2 O 3 thin film deposited on Si substrate

Electrical characteristics of Gd 2 O 3 thin film deposited on Si substrate Electrical characteristics of Gd 2 O 3 thin film deposited on Si substrate Chizuru Ohshima*, Ikumi Kashiwagi*, Shun-ichiro Ohmi** and Hiroshi Iwai* Frontier Collaborative Research Center* Interdisciplinary

More information

ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline:

ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline: ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline: Fabrication of p-n junctions Contact Potential Things you should know when you leave Key Questions What are the necessary steps to fabricate

More information

Lecture #18 Fabrication OUTLINE

Lecture #18 Fabrication OUTLINE Transistors on a Chip Lecture #18 Fabrication OUTLINE IC Fabrication Technology Introduction the task at hand Doping Oxidation Thin-film deposition Lithography Etch Lithography trends Plasma processing

More information

I. INTRODUCTION. Horyeong Lee 1, Meng Li 1, Jungwoo Oh 2, and Hi-Deok Lee 1,* Schottky diode, effective Schottky barrier height, n- channel MOSFETs

I. INTRODUCTION. Horyeong Lee 1, Meng Li 1, Jungwoo Oh 2, and Hi-Deok Lee 1,* Schottky diode, effective Schottky barrier height, n- channel MOSFETs JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.15, NO.1, FEBRUARY, 2015 http://dx.doi.org/10.5573/jsts.2015.15.1.041 A Study of the Dependence of Effective Schottky Barrier Height in Ni Silicide/n-Si

More information

Introduction to CMOS VLSI Design. Layout, Fabrication, and Elementary Logic Design

Introduction to CMOS VLSI Design. Layout, Fabrication, and Elementary Logic Design Introduction to CMOS VLSI Design Layout, Fabrication, and Elementary Logic Design CMOS Fabrication CMOS transistors are fabricated on silicon wafer Lithography process similar to printing press On each

More information

Chapter 2 MOS Fabrication Technology

Chapter 2 MOS Fabrication Technology Chapter 2 MOS Fabrication Technology Abstract This chapter is concerned with the fabrication of metal oxide semiconductor (MOS) technology. Various processes such as wafer fabrication, oxidation, mask

More information

KEYWORDS: MOSFET, reverse short-channel effect, transient enhanced diffusion, arsenic, phosphorus, source, drain, ion implantation

KEYWORDS: MOSFET, reverse short-channel effect, transient enhanced diffusion, arsenic, phosphorus, source, drain, ion implantation Jpn. J. Appl. Phys. Vol. 42 (2003) pp. 2654 2659 Part 1, No. 5A, May 2003 #2003 The Japan Society of Applied Physics -Assisted Low-Energy Arsenic Implantation Technology for N-Channel Metal Oxide Semiconductor

More information

Effect of High Pressure Deuterium Annealing on Performance and Reliability of MOSFETs with High-k Gate Dielectrics and Metal Gate

Effect of High Pressure Deuterium Annealing on Performance and Reliability of MOSFETs with High-k Gate Dielectrics and Metal Gate Effect of High Pressure Deuterium Annealing on Performance and Reliability of MOSFETs with High-k Gate Dielectrics and Metal Gate H. Park, M. Chang, H. Yang, M. S. Rahman, M. Cho, B.H. Lee*, R. Choi*,

More information

Development of High Voltage Silicon Carbide MOSFET Devices in KERI

Development of High Voltage Silicon Carbide MOSFET Devices in KERI Development of High Voltage Silicon Carbide MOSFET Devices in KERI 2014. 06. Kim, Sang Cheol (sckim@keri.re.kr) Power Semiconductor Device Research Center Korea Electrotechnology Research Institute Contents

More information

Low temperature MOSFET technology with Schottky barrier source/drain, high-k gate dielectric and metal gate electrode

Low temperature MOSFET technology with Schottky barrier source/drain, high-k gate dielectric and metal gate electrode Solid-State Electronics 48 (2004) 1987 1992 www.elsevier.com/locate/sse Low temperature MOSFET technology with Schottky barrier source/drain, high-k gate dielectric and metal gate electrode Shiyang Zhu

More information

Review of CMOS Processing Technology

Review of CMOS Processing Technology - Scaling and Integration Moore s Law Unit processes Thin Film Deposition Etching Ion Implantation Photolithography Chemical Mechanical Polishing 1. Thin Film Deposition Layer of materials ranging from

More information

Effects of post-metallization annealing of high-k dielectric thin films grown by MOMBE

Effects of post-metallization annealing of high-k dielectric thin films grown by MOMBE Microelectronic Engineering 77 (2005) 48 54 www.elsevier.com/locate/mee Effects of post-metallization annealing of high-k dielectric thin films grown by MOMBE Minseong Yun a, Myoung-Seok Kim a, Young-Don

More information

Chapter 5 Thermal Processes

Chapter 5 Thermal Processes Chapter 5 Thermal Processes 1 Topics Introduction Hardware Oxidation Diffusion Annealing Post-Implantation Alloying Reflow High Temp CVD Epi Poly Silicon Nitride RTP RTA RTP Future Trends 2 Definition

More information

Lecture 2: CMOS Fabrication Mark McDermott Electrical and Computer Engineering The University of Texas at Austin

Lecture 2: CMOS Fabrication Mark McDermott Electrical and Computer Engineering The University of Texas at Austin Lecture 2: CMOS Fabrication Mark McDermott Electrical and Computer Engineering The University of Texas at Austin Agenda Last module: Introduction to the course How a transistor works CMOS transistors This

More information

Resistive switching of CeO x /SiO 2 stacked film based on anodic oxidation and breakdown

Resistive switching of CeO x /SiO 2 stacked film based on anodic oxidation and breakdown Feb. 19 th, 2015 WIMNACT-45 Resistive switching of /SiO 2 stacked film based on anodic oxidation and breakdown K. Kakushima Tokyo Institute of Technology 1 Introduction to resistive RAM (RRAM) Reset OFF

More information

Hafnium -based gate dielectrics for high performance logic CMOS applications

Hafnium -based gate dielectrics for high performance logic CMOS applications Hafnium -based gate dielectrics for high performance logic CMOS applications T. Kelwing*, M. Trentzsch, A. Naumann, B. Bayha, B. Trui, L. Herrmann, F. Graetsch, R. Carter, R. Stephan, P. Kuecher & W. Hansch

More information

Surface Passivation and Characterization of Germanium Channel Field Effect Transistor Together with Source/Drain Engineering

Surface Passivation and Characterization of Germanium Channel Field Effect Transistor Together with Source/Drain Engineering Surface Passivation and Characterization of Germanium Channel Field Effect Transistor Together with Source/Drain Engineering Gaurav Thareja Nishi Group, Electrical Engineering Stanford University ERC Tele-seminar

More information

interface reaction kinetics

interface reaction kinetics Thermally oxidized SiO 2 formation on 4H-SiC substrate by considering the interface reaction kinetics Shun Nakatsubo, Tomonori Nishimura, Koji Kita, Kosuke Nagashio and Akira Toriumi Department of Materials

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 9/13/2007 Fabrication Technology Lecture 1 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world)

More information

Project III. 4: THIN FILM DEVICES FOR LARGE AREA ELECTRONICS

Project III. 4: THIN FILM DEVICES FOR LARGE AREA ELECTRONICS Project III. 4: THIN FILM DEVICES FOR LARGE AREA ELECTRONICS Project leader: Dr D.N. Kouvatsos Collaborating researchers from other projects: Dr D. Davazoglou Ph.D. candidates: M. Exarchos, L. Michalas

More information

Highly Reliable Low Temperature Ultrathin Oxides Grown Using N 2 O Plasma

Highly Reliable Low Temperature Ultrathin Oxides Grown Using N 2 O Plasma Highly Reliable Low Temperature Ultrathin Oxides Grown Using N 2 O Plasma Jam-Wem Lee 1, Yiming Li 1,2, and S. M. Sze 1,3 1 Department of Nano Device Technology, National Nano Device Laboratories, Hsinchu,

More information

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras Lecture - 36 MOSFET I Metal gate vs self-aligned poly gate So far, we have discussed about

More information

EE 330 Lecture 9. IC Fabrication Technology Part 2

EE 330 Lecture 9. IC Fabrication Technology Part 2 EE 330 Lecture 9 IC Fabrication Technology Part 2 Quiz 8 A 2m silicon crystal is cut into wafers using a wire saw. If the wire diameter is 220um and the wafer thickness is 350um, how many wafers will this

More information

Chapter 3 CMOS processing technology

Chapter 3 CMOS processing technology Chapter 3 CMOS processing technology (How to make a CMOS?) Si + impurity acceptors(p-type) donors (n-type) p-type + n-type => pn junction (I-V) 3.1.1 (Wafer) Wafer = A disk of silicon (0.25 mm - 1 mm thick),

More information

Crystalline Silicon Solar Cells With Two Different Metals. Toshiyuki Sameshima*, Kazuya Kogure, and Masahiko Hasumi

Crystalline Silicon Solar Cells With Two Different Metals. Toshiyuki Sameshima*, Kazuya Kogure, and Masahiko Hasumi Crystalline Silicon Solar Cells With Two Different Metals Toshiyuki Sameshima*, Kazuya Kogure, and Masahiko Hasumi Tokyo University of Agriculture and Technology, 2-24-16 Naka-cho, Koganei, Tokyo 184-8588,

More information

3.155J / 6.152J MICROELECTRONICS PROCESSING TECHNOLOGY TAKE-HOME QUIZ FALL TERM 2003

3.155J / 6.152J MICROELECTRONICS PROCESSING TECHNOLOGY TAKE-HOME QUIZ FALL TERM 2003 3.155J / 6.152J MICROELECTRONICS PROCESSING TECHNOLOGY TAKE-HOME QUIZ FALL TERM 2003 1) This is an open book, take-home quiz. You are not to consult with other class members or anyone else. You may discuss

More information

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University 2014 Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University Page1 Syllabus UNIT 1 Introduction to VLSI Technology: Classification of ICs, Scale of integration,

More information

Development and modeling of a low temperature thin-film CMOS on glass

Development and modeling of a low temperature thin-film CMOS on glass Rochester Institute of Technology RIT Scholar Works Theses Thesis/Dissertation Collections 2-6-2009 Development and modeling of a low temperature thin-film CMOS on glass Robert G. Manley Follow this and

More information

Fairchild Semiconductor Application Note June 1983 Revised March 2003

Fairchild Semiconductor Application Note June 1983 Revised March 2003 Fairchild Semiconductor Application Note June 1983 Revised March 2003 High-Speed CMOS (MM74HC) Processing The MM74HC logic family achieves its high speed by utilizing microcmos Technology. This is a 3.5

More information

MOSFET. n+ poly Si. p- substrate

MOSFET. n+ poly Si. p- substrate EE143 Midterm #1 Solutions Fall 2005 (maximum score is 97) Problem 1 Processing Modules and Simple Process Sequence (25 points total) The following schematic cross-section shows a MOSFET together with

More information

Surface micromachining and Process flow part 1

Surface micromachining and Process flow part 1 Surface micromachining and Process flow part 1 Identify the basic steps of a generic surface micromachining process Identify the critical requirements needed to create a MEMS using surface micromachining

More information

Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric

Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric K. Matano 1, K. Funamizu 1, M. Kouda 1, K. Kakushima 2, P. Ahmet 1, K. Tsutsui 2, A. Nishiyama 2, N. Sugii

More information

EE 143 FINAL EXAM NAME C. Nguyen May 10, Signature:

EE 143 FINAL EXAM NAME C. Nguyen May 10, Signature: INSTRUCTIONS Read all of the instructions and all of the questions before beginning the exam. There are 5 problems on this Final Exam, totaling 143 points. The tentative credit for each part is given to

More information

Brian Izzio 5th Year Microelectronic Engineering Student. Rochester Institute of Technology

Brian Izzio 5th Year Microelectronic Engineering Student. Rochester Institute of Technology CAPACITAWZE-VOI~TAGE characterization FOR POLYSILICON GATE MOS CAPACITORS DJTRODUcTION Brian Izzio 5th Year Microelectronic Engineering Student Rochester Institute of Technology ABSTRACT The effects of

More information

Reliability and Stability Issues for Lanthanum Silicate as a High-K Dielectric. Raleigh NC 27695, USA. Raleigh NC 27695, USA

Reliability and Stability Issues for Lanthanum Silicate as a High-K Dielectric. Raleigh NC 27695, USA. Raleigh NC 27695, USA 10.1149/1.2355716, copyright The Electrochemical Society Reliability and Stability Issues for Lanthanum Silicate as a High-K Dielectric Daniel J. Lichtenwalner a, Jesse S. Jur a, Steven Novak b, Veena

More information

EE 330 Lecture 8. IC Fabrication Technology Part II. - Oxidation - Epitaxy - Polysilicon - Interconnects

EE 330 Lecture 8. IC Fabrication Technology Part II. - Oxidation - Epitaxy - Polysilicon - Interconnects EE 330 Lecture 8 IC Fabrication Technology Part II - Oxidation - Epitaxy - Polysilicon - Interconnects Review from Last Time MOS Transistor Bulk Source Gate Drain p-channel MOSFET Lightly-doped n-type

More information

Low D it High-k/In 0.53 Ga 0.47 As Gate Stack with CET down to 0.73 nm and Thermally Stable Silicide Contact by Suppression of Interfacial Reaction

Low D it High-k/In 0.53 Ga 0.47 As Gate Stack with CET down to 0.73 nm and Thermally Stable Silicide Contact by Suppression of Interfacial Reaction IEDM 2013 Dec 9 th, 2013 Low D it High-k/In 0.53 Ga 0.47 As Gate Stack with CET down to 0.73 nm and Thermally Stable Silicide Contact by Suppression of Interfacial Reaction D. Hassan Zadeh, H. Oomine,

More information

Atomic-Layer-Deposition of HfO 2 on Si and Ge Substrates from Hafnium Tetrakis(diethylamino) and Water

Atomic-Layer-Deposition of HfO 2 on Si and Ge Substrates from Hafnium Tetrakis(diethylamino) and Water Atomic-Layer-Deposition of HfO 2 on Si and Ge Substrates from Hafnium Tetrakis(diethylamino) and Water Shiyang Zhu and Anri Nakajima Reserach Center for Nanodevices and Systems, Hiroshima University, 1-4-2

More information

SCREEN Thermal Products

SCREEN Thermal Products SCREEN Thermal Products July 16, 2015 NCCAVS Junction Technology Group Semicon West San Francisco, CA 1 SE-75-1628-L1 Agenda Thermal Products Overview Laser Anneal Presentation Formation of Ge n+/p junction

More information

Microelectronic Device Instructional Laboratory. Table of Contents

Microelectronic Device Instructional Laboratory. Table of Contents Introduction Process Overview Microelectronic Device Instructional Laboratory Introduction Description Flowchart MOSFET Development Process Description Process Steps Cleaning Solvent Cleaning Photo Lithography

More information

Isolation of elements

Isolation of elements 1 In an IC, devices on the same substrate must be isolated from one another so that there is no current conduction between them. Isolation uses either the junction or dielectric technique or a combination

More information

Characterization and Improvement of Reverse Leakage Current of Shallow Silicided Junction for Sub-100 nm CMOS Technology Utilizing N 2 PAI

Characterization and Improvement of Reverse Leakage Current of Shallow Silicided Junction for Sub-100 nm CMOS Technology Utilizing N 2 PAI Journal of the Korean Physical Society, Vol. 49, December 2006, pp. S795 S799 Characterization and Improvement of Reverse Leakage Current of Shallow Silicided Junction for Sub-100 nm CMOS Technology Utilizing

More information

Implementation of high-k gate dielectrics - a status update

Implementation of high-k gate dielectrics - a status update Implementation of high-k gate dielectrics - a status update S. De Gendt 1,#, J.Chen 2, R.Carter, E.Cartier 2, M.Caymax 1, M. Claes 1, T.Conard 1, A.Delabie 1, W.Deweerd 1, V. Kaushik 2, A.Kerber 2, S.Kubicek

More information

Electrical Properties of Ultra Shallow p Junction on n type Si Wafer Using Decaborane Ion Implantation

Electrical Properties of Ultra Shallow p Junction on n type Si Wafer Using Decaborane Ion Implantation Mat. Res. Soc. Symp. Proc. Vol. 686 2002 Materials Research Society Electrical Properties of Ultra Shallow p Junction on n type Si Wafer Using Decaborane Ion Implantation Jae-Hoon Song, Duck-Kyun Choi

More information

CMOS Fabrication. Dr. Bassam Jamil. Adopted from slides of the textbook

CMOS Fabrication. Dr. Bassam Jamil. Adopted from slides of the textbook CMOS Fabrication Dr. Bassam Jamil Adopted from slides of the textbook CMOS Fabrication CMOS transistors are fabricated on silicon wafer Lithography process similar to printing press On each step, different

More information

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation. Figure 2.1 (p. 58) Basic fabrication steps in the silicon planar process: (a) oxide formation, (b) selective oxide removal, (c) deposition of dopant atoms on wafer, (d) diffusion of dopant atoms into exposed

More information

SiC high voltage device development

SiC high voltage device development SiC high voltage device development 2006. 11. 30 KERI Power Semiconductor Group outline 1. Device design & simulation for power devices 2. SiC power diode process development Ion implantation & activation

More information

Silicon Wafer Processing PAKAGING AND TEST

Silicon Wafer Processing PAKAGING AND TEST Silicon Wafer Processing PAKAGING AND TEST Parametrical test using test structures regularly distributed in the wafer Wafer die test marking defective dies dies separation die fixing (not marked as defective)

More information

Boron Back Surface Field Using Spin-On Dopants by Rapid Thermal Processing

Boron Back Surface Field Using Spin-On Dopants by Rapid Thermal Processing Journal of the Korean Physical Society, Vol. 44, No. 6, June 2004, pp. 1581 1586 Boron Back Surface Field Using Spin-On Dopants by Rapid Thermal Processing Ji Youn Lee Photovoltaics R&D Center, Sung Jin

More information

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) contents UNIT I INTRODUCTION: Introduction to IC Technology MOS, PMOS, NMOS, CMOS & BiCMOS technologies. BASIC ELECTRICAL PROPERTIES : Basic Electrical

More information

EE 560 FABRICATION OF MOS CIRCUITS. Kenneth R. Laker, University of Pennsylvania

EE 560 FABRICATION OF MOS CIRCUITS. Kenneth R. Laker, University of Pennsylvania 1 EE 560 FABRICATION OF MOS CIRCUITS 2 CMOS CHIP MANUFACTRING STEPS Substrate Wafer Wafer Fabrication (diffusion, oxidation, photomasking, ion implantation, thin film deposition, etc.) Finished Wafer Wafer

More information

Schottky Barrier MOSFETs with High Current Drivability for Nano-regime Applications

Schottky Barrier MOSFETs with High Current Drivability for Nano-regime Applications 10 MOONGYU JANG et al : SCHOTTKY BARRIER MOSFETS WITH HIGH CURRENT DRIVABILITY FOR NANO-REGIME Schottky Barrier MOSFETs with High Current Drivability for Nano-regime Applications Moongyu Jang*, Yarkyeon

More information

Nagatsuta, Midori-ku, Yokohama , Japan. Technology, 4259-S2-20 Nagatsuta, Midori-ku, Yokohama , Japan

Nagatsuta, Midori-ku, Yokohama , Japan. Technology, 4259-S2-20 Nagatsuta, Midori-ku, Yokohama , Japan Improvement of Interface Properties of W/La O 3 /Si MOS Structure Using Al Capping Layer K. Tachi a, K. Kakushima b, P. Ahmet a, K. Tsutsui b, N. Sugii b, T. Hattori a, and H. Iwai a a Frontier Collaborative

More information

Annual Meeting. North Carolina State University Dr. Veena Misra. January 17 19, 2017 December

Annual Meeting. North Carolina State University Dr. Veena Misra. January 17 19, 2017 December Annual Meeting North Carolina State University Dr. Veena Misra January 17 19, 2017 December 8 2015 1 Misra Group at NCSU Over 9 years experience in wide band gap research on SiC, GaN and Ga2O3. World leaders

More information

Hafnium Dioxide (HfO 2 ) High-k Dielectric thin film formation for Gate Insulators in IC s

Hafnium Dioxide (HfO 2 ) High-k Dielectric thin film formation for Gate Insulators in IC s A Design Report in MR-201 Thin Films and Devices: Science and Engineering On Hafnium Dioxide (HfO 2 ) High-k Dielectric thin film formation for Gate Insulators in IC s By: Agnish Jain M.E., Microelectronics-I

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction Integrated circuits: many transistors on one chip. Very Large Scale Integration (VLSI): bucketloads! Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 2001 by Prentice Hall Chapter 17 Doping Processes Common Dopants Used in Semiconductor Manufacturing Acceptor Dopant Group IIIA

More information

EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES

EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES G. Fortunato, A. Pecora, L. Maiolo, M. Cuscunà, D. Simeone, A. Minotti, and L. Mariucci CNR-IMM,

More information

VLSI Technology. By: Ajay Kumar Gautam

VLSI Technology. By: Ajay Kumar Gautam By: Ajay Kumar Gautam Introduction to VLSI Technology, Crystal Growth, Oxidation, Epitaxial Process, Diffusion Process, Ion Implantation, Lithography, Etching, Metallization, VLSI Process Integration,

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

Fabrication Process. Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation CONCORDIA VLSI DESIGN LAB

Fabrication Process. Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation CONCORDIA VLSI DESIGN LAB Fabrication Process Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation 1 Fabrication- CMOS Process Starting Material Preparation 1. Produce Metallurgical Grade Silicon

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2003) Fabrication Technology, Part I Agenda: Oxidation, layer deposition (last lecture) Lithography Pattern Transfer (etching) Impurity Doping Reading: Senturia,

More information

ABSTRACT. Zhong, Huicai. Ru-based Gate Electrodes for Advanced Dual-Metal Gate CMOS. Devices. (Under the direction of Dr.

ABSTRACT. Zhong, Huicai. Ru-based Gate Electrodes for Advanced Dual-Metal Gate CMOS. Devices. (Under the direction of Dr. ABSTRACT Zhong, Huicai. Ru-based Gate Electrodes for Advanced Dual-Metal Gate CMOS Devices. (Under the direction of Dr. Veena Misra) The purpose of this research has been to search for proper metallic

More information

3. Photolithography, patterning and doping techniques. KNU Seminar Course 2015 Robert Mroczyński

3. Photolithography, patterning and doping techniques. KNU Seminar Course 2015 Robert Mroczyński 3. Photolithography, patterning and doping techniques KNU Seminar Course 2015 Robert Mroczyński Critical technology processes Photolithography The aim of this process is to transfer (in the most accurate

More information

Interface Structure and Charge Trapping in HfO 2 -based MOSFETS

Interface Structure and Charge Trapping in HfO 2 -based MOSFETS Interface Structure and Charge Trapping in HfO 2 -based MOSFETS MURI - ANNUAL REVIEW, 13 and 14 th May 2008 S.K. Dixit 1, 2, T. Feng 6 X.J. Zhou 3, R.D. Schrimpf 3, D.M. Fleetwood 3,4, S.T. Pantelides

More information