NANO-FABRICATION FOR MESOSCOPIC PHYSICS

Size: px
Start display at page:

Download "NANO-FABRICATION FOR MESOSCOPIC PHYSICS"

Transcription

1 NANO-FABRICATION FOR MESOSCOPIC PHYSICS Frédéric Pierre CNRS, Laboratory of Photonics and Nanostructures (LPN), Marcoussis, France ϕ Nano Team LPN

2 PLAN Overview Electron beam lithography Step by step realization of a 2DEG circuit: «Quantum dot spectrometer for the distribution function of electrons»

3 I. NANO-FABRICATION THE CLEAN ROOM ENVIRONMENT BASIC STRATEGY: TOP-DOWN vs BOTTOM-UP TYPCAL FABRICATION FLOWCHART OVERVIEW OF LITHOGRAPHY TECHNIQUES

4 LPN CLEAN ROOM 1100m 2 of clean room (700m 2 techno, 400m 2 epitaxy) Class 1000: less than 1000 particles 0.5µm/foot 3 (in cities /foot 3 ) How to keep a "clean room" clean? i) Limit dust sources ii) Positive pressure iii) Filtered laminar flow MAIN LPN CLEAN ROOM EQUIPMENTS Lithography (e-beam, UV and ion-beam) Dielectric deposition (e gun, sputtering, PECVD) Metallic deposition (Joules, e gun, sputtering) Etching (IBE, RIBE, RIE, wet etching) Characterization (SEM, AFM, optical, FTIR) Thermal treatments (wafer oxidation, eutectic Ω contacts) Back-end technologies (bonding, I-V on chip, lapping)

5 TWO MAIN APPROACHES TO NANO-FABRICATION TOP-DOWN VS BOTTOM-UP Nano-objects constructed from large entities Devices built from small components (atoms, nanotubes ) MZI (P. Roche, D. Mailly et al.) FUTURE: COMBINATION OF BOTH APPROACHES?

6 TYPICAL FABRICATION FLOWCHART substrate resist coating exposure development metal deposition etching electrolytic growth lift-off

7 LITHOGRAPHY CRUCIAL STEP THAT DETERMINES THE SMALLEST FEATURES g OPTICAL contact FOCUSED BEAM (e, ions ) e IMPRINT mold substrate 1. Heat + apply pressure (~50B) 2. Cooling projection 3. Remove mold 4. Etch residual resist

8 LITHOGRAPHY OVERVIEW OF USUAL TECHNIQUES Technique Resolution Use Remarks Contact 0.25µm Labs and R&D Economical Optical lithography Proximity 2µm Labs and R&D Projection 50nm Industrial Economical but weak resolution Expensive but with constant progress EUV <50nm Industrial May be the next technique for 2010 Electron lithography 1nm Labs and R&D Fabrication of optical masks Technique without mask high resolution Ion lithography 3nm Labs and R&D Better for etching than lithography (diagnostic) Near field lithography Atom 10nm Labs Economical, very slow Nanoimprint 10nm Labs and industry? Economical, fast Alignment problems mask 1 :1

9 LITHOGRAPHY OVERVIEW OF USUAL TECHNIQUES Technique Resolution Use Remarks Contact 0.25µm Labs and R&D Economical Optical lithography Proximity 2µm Labs and R&D Projection 50nm Industrial Economical but weak resolution Expensive but with constant progress EUV <50nm Industrial May be the next technique for 2010 Electron lithography Ion lithography Near field lithography Labs and R&D 1nmgap Fabrication b bof optical b e masks 3nm Light intensity on resist Atom 10nm Labs and R&D Labs Technique mask without mask high resist resolution substrate Better for etching than lithography (diagnostic) Ideal transfert Economical, very slow Real transfert Nanoimprint 10nm Labs and industry? Economical, fast Alignment problems mask 1 :1

10 LITHOGRAPHY OVERVIEW OF USUAL TECHNIQUES Technique Resolution Use Remarks Contact 0.25µm Labs and R&D Economical Optical lithography Proximity 2µm Labs and R&D Projection 50nm Industrial UV EUV <50nm Industrial Economical but weak resolution Expensive but with constant progress May be the next technique for 2010 Electron lithography 1nm Labs and R&D Fabrication of optical masks Technique without mask high resolution Ion lithography 3nm Labs and R&D Better for etching than lithography (diagnostic) Near field lithography Atom 10nm Labs Economical, very slow Nanoimprint 10nm Labs and industry? Economical, fast Alignment problems mask 1 :1

11 LITHOGRAPHY OVERVIEW OF USUAL TECHNIQUES Technique Resolution Use Remarks Contact 0.25µm Labs and R&D Economical Optical lithography Proximity 2µm Labs and R&D Projection 50nm Industrial Economical but weak resolution Expensive but with constant progress EUV <50nm Industrial May be the next technique for 2010 Electron lithography 1nm Labs and R&D Fabrication of optical masks Technique without mask high resolution Ion lithography 3nm Labs and R&D Better for etching than lithography (diagnostic) Near field lithography Atom 10nm Labs Economical, very slow Nanoimprint 10nm Labs and industry? Economical, fast Alignment problems mask 1 :1

12 LITHOGRAPHY OVERVIEW OF USUAL TECHNIQUES Technique Resolution Use Remarks Contact 0.25µm Labs and R&D Economical Optical lithography Proximity 2µm Labs and R&D Projection 50nm Industrial Economical but weak resolution Expensive but with constant progress EUV <50nm Industrial May be the next technique for 2010 Electron lithography 1nm Labs and R&D Fabrication of optical masks Technique without mask high resolution Ion lithography 3nm Labs and R&D Better for etching than lithography (diagnostic) Near field lithography Atom 10nm Labs Economical, very slow Nanoimprint 10nm Labs and industry? Economical, fast Alignment problems mask 1 :1

13 LITHOGRAPHY OVERVIEW OF USUAL TECHNIQUES Technique Resolution Use Remarks Optical lithography Delft Univ. 1. Nano-FIB Technology Engineering Contact 0.25µm Labs and R&D Economical L2M-CNRS (FIB) Raith GmbH (Platform & software) Fug GmbH (Electronics) 2. Ion Source Proximity 2µm Labs and R&D Projection 50nm Industrial 3. Ion Optics Surrey Univ. L2M-CNRS CEA CEMES Delft Univ. L2M-CNRS Economical but weak resolution Expensive but with constant progress EUV <50nm Industrial Electron lithography 5. Final Exploitation Raith GmbH 1nm 150 mm Essen Univ. 4. Nano-FIB LPS Applications L2M-CNRS Labs and R&D Fabrication of optical masks May be the next technique for 2010 Technique without mask high resolution Ion lithography 3nm Labs and R&D Better for etching than lithography (diagnostic) Near field lithography Atom 10nm Labs Economical, very slow Nanoimprint 10nm Labs and industry? Economical, fast Alignment problems mask 1 :1

14 LITHOGRAPHY OVERVIEW OF USUAL TECHNIQUES Technique Resolution Use Remarks Contact 0.25µm Labs and R&D Economical Optical lithography Proximity 2µm Labs and R&D Projection 50nm Industrial Economical but weak resolution ETH-ZurichExpensive but with constant progress EUV <50nm Industrial May be the next technique for 2010 Electron lithography 1nm Labs and R&D Fabrication of optical masks Technique without mask high resolution Ion lithography 3nm Labs and R&D Better for etching than lithography (diagnostic) Near field lithography Atom 10nm Labs Economical, very slow Nanoimprint 10nm Labs and industry? Economical, fast Alignment problems mask 1 :1

15 LITHOGRAPHY OVERVIEW OF USUAL TECHNIQUES Technique Resolution mold Use Remarks Contact 0.25µm Labs and R&D Economical Optical lithography Proximity 2µm substrate Labs and R&D 1. Heat + apply pressure (~50B) Projection 50nm Industrial Economical but weak resolution Expensive but with constant progress EUV <50nm Industrial 2. Cooling May be the next technique for 2010 Electron lithography 1nm Labs and R&D Fabrication of optical masks Technique without mask high resolution Ion lithography 3nm 3. Remove moldlabs and R&D Better for etching than lithography (diagnostic) Near field lithography Atom 10nm 4. Etch residual resist Labs Nanoimprint 10nm Labs and industry? Economical, very slow Economical, fast Alignment problems mask 1 :1

16 LITHOGRAPHY OVERVIEW OF USUAL TECHNIQUES Technique Resolution Use Remarks Contact 0.25µm Labs and R&D Economical Optical lithography Proximity 2µm Labs and R&D Projection 50nm Industrial Economical but weak resolution Expensive but with constant progress EUV <50nm Industrial May be the next technique for 2010 Electron lithography 1nm Labs and R&D Fabrication of optical masks Technique without mask high resolution Ion lithography 3nm Labs and R&D Better for etching than lithography (diagnostic) Near field lithography Atom 10nm Labs Economical, very slow Nanoimprint 10nm Labs and industry? Economical, fast Alignment problems mask 1 :1

17 II. ELECTRON BEAM LITHOGRAPHY A TECHNIQUE USED IN THE FABRICATION OF MOST MESOSCOPIC DEVICES

18 ELECTRON BEAM LITHOGRAPHY e Leica EBPG5000+ Electron beam spot size ~1nm Very small wavelength: no diffraction limitation Direct writing: maskless Sequential writing: small throughput Resolution: depends on resist, down to spot size ~1nm

19 ELECTRON-RESIST INTERACTION organic resist (PMMA: polymethyl-meta-acrylate) PMMA m m m m m m m m m m m m m m m m non-soluble soluble Typical energy for breaking a bond: 10eV Typical energy of e-beam: several 10keV ( aberration problems at low energy)

20 ENERGY DEPOSITION SIMULATIONS e e DOUBLE GAUSSIAN MODEL 2 2 E( r) = a exp r 2 + b exp r 2 β β F R E β F β R r Forward scattering spreading of the beam: loss of resolution Substrate backscattering energy far from impact: proximity effects Tension [kv] β F [µm] β R [µm] nm resist on Si substrate

21 PROXIMITY EFFECTS Real dose depends on pattern intra proximity Real dose modified by surrounding of pattern D=E(1+b) (E Area = I e-beam time) Real dose as exposed dose proximity effect proximity effect software corrections (very expensive) Negative doses could be needed!

22 E-BEAM LITHOGRAPHY WITH A BILAYER PMMA MMA Bilayer spinning e-beam exposition e e Development suspended PMMA mask Metal deposition 1 st evap. 2 nd evap. Lift-off LOR-PMMA Al-Al 2 O 3 -Al tunnel JN Combine materials in good contacts Make good Al 2 O 3 tunnel junctions Easy lift-off even possible with sputtering deposition

23 INORGANIC RESISTS sensitive to high energy diffusion pump oil Polymerisation under e-beam resolution ~ few nm VERY HIGH RESOLUTION (~ few nm) BUT VERY THIN RESIST NO LIFT OFF VERY HIGH DOSES (~ C/cm 2 ) Other inorganic resists: Al 2 O 3, NaCl, AlF 3,

24 ELECTRON BEAM PATTERN GENERATOR WRITING STRATEGY Main deflection coil: position in Field Subfield deflection coil Field Subfield Field + Subfield Higher bandwidth (50MHz) Typical size: Field ~ 300µmx300µm to 1mmx1mm (mechanical displacement from field to field) Subfield ~ 2µmx2µm to 10µmx10µm (1.25nm to 100nm, depends on pixel size )

25 ELECTRON BEAM PATTERN GENERATOR STAGE POSITION WITH LASER INTERFEROMETRY R Asked position e-beam R-M BEF DAC Correction translation and rotation Deflection coil M Measure d position Laser interferometer Measured position Miror (R-M) Real position Sample holder Asked position

26 ELECTRON BEAM PATTERN GENERATOR DEFLECTION COIL CALIBRATION & ABERRATION CORRECTION Signal on secondary e detector for mark detection Can also sweep the mark everywhere in field for aberration correction

27 ELECTRON BEAM PATTERN GENERATOR LASER HEIGHT SENSOR FOR FOCUS CORRECTIONS System reads change in spot position on CCD Laser Diode 1. Laser projects spot onto surface of substrate. Substrate 3. Laser spot reflected onto Detector. 2. Laser spot movement due to change in height of substrate surface. 4. Signals from Dual-diode detector determine distance moved by laser beam.

28 A FEW THINGS TO REMEMBER WHEN DRAWING A CIRCUIT REALIZED WITH E-BEAM LITHOGRAPHY RESOLUTION: very best ~10nm, easy: 50nm PROXIMITY EFFECT: do not forget it when drawing your circuit NOT THAT FAST: exposure time = dose (~1000µC/cm 2 ) * Area / current (1-100nA)

29 III. STEP BY STEP REALIZATION OF A 2DEG CIRCUIT «Quantum dot spectrometer for the distribution function of electrons»

30 STEP BY STEP REALIZATION OF A 2DEG CIRCUIT 1. DRAWING THE CIRCUIT 1mm Lithographic steps 1. Alignment marks (Ti-Au) 2. Ω contacts (Ni-Ge-Au-Ni-Au) 3. 2DEG Mesa 30µm 3.5µm 4. Schottky gates (Al) EBPG reads GDSII (generated with L-Edit)

31 STEP BY STEP REALIZATION OF A 2DEG CIRCUIT 2. THE GaAs/Ga(Al)As HETEROJUNCTION HETEROJUNCTION ENGINEERING SCHEMATIC OF MBE Si doping 2DEG (~100nm deep) 0.8eV E surface GaAs Al x Ga 1-x As (x 0.33) GaAs E F substrate LPN HIGH e MOBILITY MBE A. Cavanna B. Etienne U. Gennser K. Ouerghi

32 STEP BY STEP REALIZATION OF A 2DEG CIRCUIT 3. ALIGNMENT MARKS REFERENCE POSITION AND ORIENTATION 1. Resist coating by spinning (~5000rpm) PMMA diluted in chlorobenzene 2. E-beam exposition & development in MIBK/IPA (1/3) 3. Metal deposition (Ti-Au: 20nm-200nm) by e-gun evaporation & lift-off in trichloroethylene

33 STEP BY STEP REALIZATION OF A 2DEG CIRCUIT 4. OHMIC CONTACTS MAKING CONTACT WITH THE 2DEG 1. e-beam lithography 2. Metal deposition: AuGe eutectic T melt =360 C 3. Fast annealing (~450 C for 1mn) Au 200nm Ni 20nm Au 120nm Ge 60nm Ni 10nm [donor]

34 STEP BY STEP REALIZATION OF A 2DEG CIRCUIT 4. OHMIC CONTACTS Before baking After baking Mechanism for Ω contacts: strong local Ge doping Typical good Ω contacts 0.5Ω mm at 4.2K

35 STEP BY STEP REALIZATION OF A 2DEG CIRCUIT 5. MESA DEFINING THE 2DEG AREAS WITH ETCHING 1. e-beam lithography & Al deposition on 2DEG areas 2. Wet etching with H 3 PO 4 /H 2 O 2 /H 2 O (3:1:80 ~50nm/mn) Alternate etching solution: IBE 3. Removal of Al with NaOH

36 STEP BY STEP REALIZATION OF A 2DEG CIRCUIT 6. SCHOTTKY GATES TOP METAL GATES USED TO SHAPE THE CIRCUIT 1. Very small size test dose required on GaAs substrate 1100µC/cm 2 2. e-beam lithography & Al deposition on real sample

37 STEP BY STEP REALIZATION OF A 2DEG CIRCUIT 7. BONDING 1. Test gate leakage 2. Connect to sample holder with wire bonding Westbond Extra precautions necessary to avoid burning the Schottky gates

38 STEP BY STEP REALIZATION OF A 2DEG CIRCUIT 8. MEASUREMENT OF ENERGY RELAXATION IN THE QUANTUM HALL REGIME See posters: Carles Altimiras Hélène le Sueur

39 MERCI!

Micro- and Nano-Technology... for Optics

Micro- and Nano-Technology... for Optics Micro- and Nano-Technology...... for Optics 3.2 Lithography U.D. Zeitner Fraunhofer Institut für Angewandte Optik und Feinmechanik Jena Electron Beam Column electron gun beam on/of control magnetic deflection

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 9/13/2007 Fabrication Technology Lecture 1 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world)

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

Czochralski Crystal Growth

Czochralski Crystal Growth Czochralski Crystal Growth Crystal Pulling Crystal Ingots Shaping and Polishing 300 mm wafer 1 2 Advantage of larger diameter wafers Wafer area larger Chip area larger 3 4 Large-Diameter Wafer Handling

More information

In operandi observation of dynamic annealing: a case. Supplementary Material

In operandi observation of dynamic annealing: a case. Supplementary Material In operandi observation of dynamic annealing: a case study of boron in germanium nanowire devices Supplementary Material Maria M. Koleśnik-Gray, 1,3,4 Christian Sorger, 1 Subhajit Biswas, 2,3 Justin D.

More information

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS AND FABRICATION ENGINEERING ATTHE MICRO- NANOSCALE Fourth Edition STEPHEN A. CAMPBELL University of Minnesota New York Oxford OXFORD UNIVERSITY PRESS CONTENTS Preface xiii prrt i OVERVIEW AND MATERIALS

More information

Electron Beam Lithography - key enabling technology in nanofabrication. Frank Dirne

Electron Beam Lithography - key enabling technology in nanofabrication. Frank Dirne Electron Beam Lithography - key enabling technology in nanofabrication Frank Dirne Moore s Law (x2/2 yr) Moore s Law EBL Electron Beam Lithography - key enabling technology in nanofabrication - Principles

More information

Compact hybrid plasmonic-si waveguide structures utilizing Albanova E-beam lithography system

Compact hybrid plasmonic-si waveguide structures utilizing Albanova E-beam lithography system Compact hybrid plasmonic-si waveguide structures utilizing Albanova E-beam lithography system Introduction Xu Sun Laboratory of Photonics and Microwave Engineering, Royal Institute of Technology (KTH),

More information

Introduction to Micro/Nano Fabrication Techniques. Date: 2015/05/22 Dr. Yi-Chung Tung. Fabrication of Nanomaterials

Introduction to Micro/Nano Fabrication Techniques. Date: 2015/05/22 Dr. Yi-Chung Tung. Fabrication of Nanomaterials Introduction to Micro/Nano Fabrication Techniques Date: 2015/05/22 Dr. Yi-Chung Tung Fabrication of Nanomaterials Top-Down Approach Begin with bulk materials that are reduced into nanoscale materials Ex:

More information

Review of CMOS Processing Technology

Review of CMOS Processing Technology - Scaling and Integration Moore s Law Unit processes Thin Film Deposition Etching Ion Implantation Photolithography Chemical Mechanical Polishing 1. Thin Film Deposition Layer of materials ranging from

More information

Lecture 22: Integrated circuit fabrication

Lecture 22: Integrated circuit fabrication Lecture 22: Integrated circuit fabrication Contents 1 Introduction 1 2 Layering 4 3 Patterning 7 4 Doping 8 4.1 Thermal diffusion......................... 10 4.2 Ion implantation.........................

More information

Introduction to Lithography

Introduction to Lithography Introduction to Lithography G. D. Hutcheson, et al., Scientific American, 290, 76 (2004). Moore s Law Intel Co-Founder Gordon E. Moore Cramming More Components Onto Integrated Circuits Author: Gordon E.

More information

Advanced resists for e-beam lithography: processing, exposure and characterization (Part II)

Advanced resists for e-beam lithography: processing, exposure and characterization (Part II) Advanced resists for e-beam lithography: processing, exposure and characterization (Part II) Dra. Mariana Pojar de Melo Prof. Dr. Antonio Carlos Seabra Dep. Eng. de Sistemas Eletrônicos Escola Politécnica

More information

Single-digit-resolution nanopatterning with. extreme ultraviolet light for the 2.5 nm. technology node and beyond

Single-digit-resolution nanopatterning with. extreme ultraviolet light for the 2.5 nm. technology node and beyond Electronic Supplementary Material (ESI) for Nanoscale. This journal is The Royal Society of Chemistry 205 Supplementary Information for: Single-digit-resolution nanopatterning with extreme ultraviolet

More information

THIN METALLIC LAYERS STRUCTURED BY E-BEAM LITHOGRAPHY. Miroslav HORÁČEK, Vladimír KOLAŘÍK, Michal URBÁNEK, František MATĚJKA, Milan MATĚJKA

THIN METALLIC LAYERS STRUCTURED BY E-BEAM LITHOGRAPHY. Miroslav HORÁČEK, Vladimír KOLAŘÍK, Michal URBÁNEK, František MATĚJKA, Milan MATĚJKA THIN METALLIC LAYERS STRUCTURED BY E-BEAM LITHOGRAPHY Miroslav HORÁČEK, Vladimír KOLAŘÍK, Michal URBÁNEK, František MATĚJKA, Milan MATĚJKA Ústav přístrojové techniky AV ČR, v. v. i., Královopolská 147,

More information

Processing guidelines. Negative Tone Photoresists mr-ebl 6000

Processing guidelines. Negative Tone Photoresists mr-ebl 6000 Characteristics Processing guidelines Negative Tone Photoresists mr-ebl 6000 mr-ebl 6000 is a chemically amplified negative tone photoresist for the use in micro- and nanoelectronics. - Electron beam sensitive

More information

Surface Acoustic Wave fabrication using nanoimprint. Zachary J. Davis, Senior Consultant,

Surface Acoustic Wave fabrication using nanoimprint. Zachary J. Davis, Senior Consultant, Surface Acoustic Wave fabrication using nanoimprint Zachary J. Davis, Senior Consultant, zjd@teknologisk.dk Center for Microtechnology & Surface Analysis Micro and Nano Technology Sensor Technology Top

More information

3. Overview of Microfabrication Techniques

3. Overview of Microfabrication Techniques 3. Overview of Microfabrication Techniques The Si revolution First Transistor Bell Labs (1947) Si integrated circuits Texas Instruments (~1960) Modern ICs More? Check out: http://www.pbs.org/transistor/background1/events/miraclemo.html

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline:

ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline: ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline: Fabrication of p-n junctions Contact Potential Things you should know when you leave Key Questions What are the necessary steps to fabricate

More information

More on VLSI Fabrication Technologies. Emanuele Baravelli

More on VLSI Fabrication Technologies. Emanuele Baravelli More on VLSI Fabrication Technologies Emanuele Baravelli Some more details on: 1. VLSI meaning 2. p-si epitaxial layer 3. Lithography 4. Metallization 5. Process timings What does VLSI mean, by the way?

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2003) Fabrication Technology, Part I Agenda: Oxidation, layer deposition (last lecture) Lithography Pattern Transfer (etching) Impurity Doping Reading: Senturia,

More information

FABRICATION OF GaAs DEVICES

FABRICATION OF GaAs DEVICES FABRICATION OF GaAs DEVICES by Albert G. Baca and Carol I. H. Ashby Sandia National Laboratories Albuquerque, NM, USA CONTENTS Acknowledgment Abbreviations xiii 1 Introduction to GaAs devices 1 1.1 Scope

More information

3. Photolithography, patterning and doping techniques. KNU Seminar Course 2015 Robert Mroczyński

3. Photolithography, patterning and doping techniques. KNU Seminar Course 2015 Robert Mroczyński 3. Photolithography, patterning and doping techniques KNU Seminar Course 2015 Robert Mroczyński Critical technology processes Photolithography The aim of this process is to transfer (in the most accurate

More information

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in Chapter 5 PROCESSING OF DEVICES A discussion of crystal growth, lithography, etching, doping, and device structures is presented in the following overview gures. SEMICONDUCTOR DEVICE PROCESSING: AN OVERVIEW

More information

Dr. Priyabrat Dash Office: BM-406, Mob: Webpage: MB: 205

Dr. Priyabrat Dash   Office: BM-406, Mob: Webpage:  MB: 205 Email: dashp@nitrkl.ac.in Office: BM-406, Mob: 8895121141 Webpage: http://homepage.usask.ca/~prd822/ MB: 205 Nonmanufacturing In continuation from last class... 2 Top-Down methods Mechanical-energy methods

More information

Lecture #18 Fabrication OUTLINE

Lecture #18 Fabrication OUTLINE Transistors on a Chip Lecture #18 Fabrication OUTLINE IC Fabrication Technology Introduction the task at hand Doping Oxidation Thin-film deposition Lithography Etch Lithography trends Plasma processing

More information

General Introduction to Microstructure Technology p. 1 What is Microstructure Technology? p. 1 From Microstructure Technology to Microsystems

General Introduction to Microstructure Technology p. 1 What is Microstructure Technology? p. 1 From Microstructure Technology to Microsystems General Introduction to Microstructure Technology p. 1 What is Microstructure Technology? p. 1 From Microstructure Technology to Microsystems Technology p. 9 The Parallels to Microelectronics p. 15 The

More information

Most semiconductor devices contain at least one junction between p-type and n-type material. These p-n junctions are fundamental to the performance

Most semiconductor devices contain at least one junction between p-type and n-type material. These p-n junctions are fundamental to the performance Ch. 5: p-n Junction Most semiconductor devices contain at least one junction between p-type and n-type material. These p-n junctions are fundamental to the performance of functions such as rectification,

More information

Micro-Nano Fabrication Research

Micro-Nano Fabrication Research Micro-Nano Fabrication Research Technical Education Quality Improvement Programme 22-23 December 2014 Dr. Rakesh G. Mote Assistant Professor Department of Mechanical Engineering IIT Bombay rakesh.mote@iitb.ac.in;

More information

EE 5344 Introduction to MEMS. CHAPTER 3 Conventional Si Processing

EE 5344 Introduction to MEMS. CHAPTER 3 Conventional Si Processing 3. Conventional licon Processing Micromachining, Microfabrication. EE 5344 Introduction to MEMS CHAPTER 3 Conventional Processing Why silicon? Abundant, cheap, easy to process. licon planar Integrated

More information

Lect. 2: Basics of Si Technology

Lect. 2: Basics of Si Technology Unit processes Thin Film Deposition Etching Ion Implantation Photolithography Chemical Mechanical Polishing 1. Thin Film Deposition Layer of materials ranging from fractions of nanometer to several micro-meters

More information

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing ELEC 3908, Physical Electronics, Lecture 4 Basic Integrated Circuit Processing Lecture Outline Details of the physical structure of devices will be very important in developing models for electrical behavior

More information

Introduction to Nanoscience and Nanotechnology

Introduction to Nanoscience and Nanotechnology Introduction to Nanoscience and Nanotechnology ENS 463 2. Principles of Nano-Lithography by Alexander M. Zaitsev alexander.zaitsev@csi.cuny.edu Tel: 718 982 2812 Office 4N101b 1 Lithographic patterning

More information

Fabrication and Layout

Fabrication and Layout ECEN454 Digital Integrated Circuit Design Fabrication and Layout ECEN 454 3.1 A Glimpse at MOS Device Polysilicon Aluminum ECEN 475 4.2 1 Material Classification Insulators Glass, diamond, silicon oxide

More information

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS Contents: VI Sem ECE 06EC63: Analog and Mixed Mode VLSI Design PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS 1. Introduction 2. CMOS Fabrication 3. Simplified View of Fabrication Process 3.1 Alternative

More information

WP7 JRA2 JRA2 Research on High Precision Manufacturing. Investigation of optimum NIL stamp fabrication method to copy sub-10 nm BCP features

WP7 JRA2 JRA2 Research on High Precision Manufacturing. Investigation of optimum NIL stamp fabrication method to copy sub-10 nm BCP features DELIVERABLE REPORT WP7 JRA2 JRA2 Research on High Precision Manufacturing D7.1 Investigation of optimum NIL stamp fabrication method to copy sub-10 nm BCP features M18 NFFA-Europe has received funding

More information

Nanoimprinting in Polymers and Applications in Cell Studies. Albert F. YEE Chemical Engineering & Materials Science UC Irvine

Nanoimprinting in Polymers and Applications in Cell Studies. Albert F. YEE Chemical Engineering & Materials Science UC Irvine Nanoimprinting in Polymers and Applications in Cell Studies Albert F. YEE Chemical Engineering & Materials Science UC Irvine Presentation outline Motivation Reversal imprinting Soft inkpad imprinting on

More information

Introduction to CMOS VLSI Design. Layout, Fabrication, and Elementary Logic Design

Introduction to CMOS VLSI Design. Layout, Fabrication, and Elementary Logic Design Introduction to CMOS VLSI Design Layout, Fabrication, and Elementary Logic Design CMOS Fabrication CMOS transistors are fabricated on silicon wafer Lithography process similar to printing press On each

More information

NANOMETER AND HIGH ASPECT RATIO PATTERNING BY ELECTRON BEAM LITHOGRAPHY USING A SIMPLE DUV NEGATIVE TONE RESIST

NANOMETER AND HIGH ASPECT RATIO PATTERNING BY ELECTRON BEAM LITHOGRAPHY USING A SIMPLE DUV NEGATIVE TONE RESIST NANOMETER AND HIGH ASPECT RATIO PATTERNING BY ELECTRON BEAM LITHOGRAPHY USING A SIMPLE DUV NEGATIVE TONE RESIST H. Elsner and H.-G. Meyer Institute for Physical High Technology (IPHT), Dept. of Cryoelectronics,

More information

Figure 6. Rare-gas atom-beam diffraction patterns. These results were obtained by Wieland Schöllkopf and Peter Toennies at the Max-Planck Institute

Figure 6. Rare-gas atom-beam diffraction patterns. These results were obtained by Wieland Schöllkopf and Peter Toennies at the Max-Planck Institute Figure 6. Rare-gas atom-beam diffraction patterns. These results were obtained by Wieland Schöllkopf and Peter Toennies at the Max-Planck Institute in Göttingen, Germany, using a freestanding, 100nm-period

More information

CMOS Manufacturing process. Design rule set

CMOS Manufacturing process. Design rule set CMOS Manufacturing process Circuit design Set of optical masks Fabrication process Circuit designer Design rule set Process engineer All material: Chap. 2 of J. Rabaey, A. Chandrakasan, B. Nikolic, Digital

More information

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley Microstructure of Electronic Materials Amorphous materials Single-Crystal Material 1 The Si Atom The Si Crystal diamond structure High-performance semiconductor devices require defect-free crystals 2 Crystallographic

More information

Graduate Student Presentations

Graduate Student Presentations Graduate Student Presentations Dang, Huong Chip packaging March 27 Call, Nathan Thin film transistors/ liquid crystal displays April 4 Feldman, Ari Optical computing April 11 Guerassio, Ian Self-assembly

More information

micro resist technology

micro resist technology Characteristics Processing guidelines Negative Tone Photoresist Series ma-n 2400 ma-n 2400 is a negative tone photoresist series designed for the use in micro- and nanoelectronics. The resists are available

More information

2008 Summer School on Spin Transfer Torque

2008 Summer School on Spin Transfer Torque 2008 Summer School on Spin Transfer Torque Nano-scale device fabrication 2-July-2008 Byoung-Chul Min Center for Spintronics Research Korea Institute of Science and Technology Introduction Moore s Law

More information

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width Microelectronics Introduction to the IC technology M.Rencz 11 September, 2002 9/16/02 1/37 Integrated circuits Development is controlled by the roadmaps. Self-fulfilling predictions for the tendencies

More information

Roll-to-Roll Nanoimprint - 6 회 -

Roll-to-Roll Nanoimprint - 6 회 - Roll-to-Roll Nanoimprint - 6 회 - 목차 Click to add Title 1 Roll to Roll nanoimprint Introduction : Continuous Production System (Roll-to-Roll) Fabrication Processes Fabrication of nano roll mold 2 Case study

More information

3. Monodomain porous alumina obtained by nanoimprint lithography

3. Monodomain porous alumina obtained by nanoimprint lithography 3. Monodomain porous alumina obtained by nanoimprint lithography 3.1 Nanoimprint lithography (NIL) In the previous chapter, the preparation of polydomain porous alumina by self-ordering was discussed.

More information

High Transmittance Ti doped ITO Transparent Conducting Layer Applying to UV-LED. Y. H. Lin and C. Y. Liu

High Transmittance Ti doped ITO Transparent Conducting Layer Applying to UV-LED. Y. H. Lin and C. Y. Liu High Transmittance Ti doped ITO Transparent Conducting Layer Applying to UV-LED Y. H. Lin and C. Y. Liu Department of Chemical Engineering and Materials Engineering, National Central University, Jhongli,

More information

Fabrication of high power GaN transistors F. Medjdoub CNRS - IEMN

Fabrication of high power GaN transistors F. Medjdoub CNRS - IEMN Fabrication of high power GaN transistors F. Medjdoub CNRS - IEMN E. Dogmus, A. Linge, T. Defais, R. Kabouche, R. Pecheux, M. Zegaoui Lille city centre Where are we? National Network of Large Technological

More information

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University 2014 Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University Page1 Syllabus UNIT 1 Introduction to VLSI Technology: Classification of ICs, Scale of integration,

More information

1. Introduction. What is implantation? Advantages

1. Introduction. What is implantation? Advantages Ion implantation Contents 1. Introduction 2. Ion range 3. implantation profiles 4. ion channeling 5. ion implantation-induced damage 6. annealing behavior of the damage 7. process consideration 8. comparison

More information

Chemical Vapor Deposition

Chemical Vapor Deposition Chemical Vapor Deposition ESS4810 Lecture Fall 2010 Introduction Chemical vapor deposition (CVD) forms thin films on the surface of a substrate by thermal decomposition and/or reaction of gas compounds

More information

Fabrication Technology

Fabrication Technology Fabrication Technology By B.G.Balagangadhar Department of Electronics and Communication Ghousia College of Engineering, Ramanagaram 1 OUTLINE Introduction Why Silicon The purity of Silicon Czochralski

More information

PLASMONIC STRUCTURES IN PMMA RESIST

PLASMONIC STRUCTURES IN PMMA RESIST PLASMONIC STRUCTURES IN PMMA RESIST Michal URBÁNEK a, Stanislav KRÁTKÝ a, MARCEL ŠIMÍK b, Vladimír KOLAŘÍK a, Miroslav HORÁČEK a, Milan MATĚJKA a a Institute of Scientific Instruments of the ASCR, v.v.i.,

More information

Processing guidelines. Negative Tone Photoresist Series ma-n 2400

Processing guidelines. Negative Tone Photoresist Series ma-n 2400 Characteristics Processing guidelines Negative Tone Photoresist Series ma-n 2400 ma-n 2400 is a negative tone photoresist series designed for the use in micro- and nanoelectronics. The resists are available

More information

Outline. Introduction to the LIGA Microfabrication Process. What is LIGA? The LIGA Process. Dr. Bruce K. Gale Fundamentals of Microfabrication

Outline. Introduction to the LIGA Microfabrication Process. What is LIGA? The LIGA Process. Dr. Bruce K. Gale Fundamentals of Microfabrication Outline Introduction to the LIGA Microfabrication Process Dr. Bruce K. Gale Fundamentals of Microfabrication What is LIGA? The LIGA Process Lithography Techniques Electroforming Mold Fabrication Analyzing

More information

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag FABRICATION OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Overview of CMOS Fabrication Processes The CMOS Fabrication Process Flow Design Rules EE 432 VLSI Modeling and Design 2 CMOS Fabrication

More information

Chapter 3 CMOS processing technology

Chapter 3 CMOS processing technology Chapter 3 CMOS processing technology (How to make a CMOS?) Si + impurity acceptors(p-type) donors (n-type) p-type + n-type => pn junction (I-V) 3.1.1 (Wafer) Wafer = A disk of silicon (0.25 mm - 1 mm thick),

More information

EUV optics lifetime Radiation damage, contamination, and oxidation

EUV optics lifetime Radiation damage, contamination, and oxidation EUV optics lifetime Radiation damage, contamination, and oxidation M. van Kampen ASML Research 10-11-2016 Preamble Slide 2 ASML builds lithography scanners High-resolution photocopiers Copies mask pattern

More information

EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES

EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES G. Fortunato, A. Pecora, L. Maiolo, M. Cuscunà, D. Simeone, A. Minotti, and L. Mariucci CNR-IMM,

More information

Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices

Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices Hitachi Review Vol. 65 (2016), No. 7 233 Featured Articles Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices Ion-beam-based Photomask Defect Repair

More information

SUPPLEMENTARY INFORMATIONS

SUPPLEMENTARY INFORMATIONS SUPPLEMENTARY INFORMATIONS Dynamic Evolution of Conducting Nanofilament in Resistive Switching Memories Jui-Yuan Chen, Cheng-Lun Hsin,,, Chun-Wei Huang, Chung-Hua Chiu, Yu-Ting Huang, Su-Jien Lin, Wen-Wei

More information

Contents. From microelectronics down to nanotechnology

Contents. From microelectronics down to nanotechnology Contents From microelectronics down to nanotechnology sami.franssila@tkk.fi Lithography: scaling x- and y-dimensions MOS transistor physics Scaling oxide thickness (z-dimension) CNT transistors Conducting

More information

Contents. From microelectronics down to nanotechnology. Top down nanotechnology. Writing patterns

Contents. From microelectronics down to nanotechnology. Top down nanotechnology. Writing patterns Contents From microelectronics down to nanotechnology sami.franssila@tkk.fi Lithography: scaling x- and y-dimensions MOS transistor physics Scaling oxide thickness (z-dimension) CNT transistors Conducting

More information

Innovative Roll-to-Roll Equipment & Material Development Suite

Innovative Roll-to-Roll Equipment & Material Development Suite Innovative Roll-to-Roll Equipment & Material Development Suite For Next Generation Technology from Carpe Diem Technologies and the University of Massachusetts Amherst By John Berg, Dimitur Benchev, James

More information

Supplementary Figure 1 The lithium polysulfide distribution on the patterned electrode.

Supplementary Figure 1 The lithium polysulfide distribution on the patterned electrode. Supplementary Figure 1.The lithium polysulfide distribution on the patterned electrode. SEM image of the ITO-carbon electrode after dipping into Li 2 S 8 solution and drying, which shows the random distribution

More information

Chapter 2 Manufacturing Process

Chapter 2 Manufacturing Process Digital Integrated Circuits A Design Perspective Chapter 2 Manufacturing Process 1 CMOS Process 2 CMOS Process (n-well) Both NMOS and PMOS must be built in the same silicon material. PMOS in n-well NMOS

More information

Fabrication Process. Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation CONCORDIA VLSI DESIGN LAB

Fabrication Process. Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation CONCORDIA VLSI DESIGN LAB Fabrication Process Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation 1 Fabrication- CMOS Process Starting Material Preparation 1. Produce Metallurgical Grade Silicon

More information

Cost Effective 3D Glass Microfabrication for Advanced Packaging Applications

Cost Effective 3D Glass Microfabrication for Advanced Packaging Applications Cost Effective 3D Glass Microfabrication for Advanced Packaging Applications Authors: Jeb. H Flemming, Kevin Dunn, James Gouker, Carrie Schmidt, Roger Cook ABSTRACT Historically, while glasses have many

More information

Development of Silicon Pad and Strip Detector in High Energy Physics

Development of Silicon Pad and Strip Detector in High Energy Physics XXI DAE-BRNS High Energy Physics Symposium 2014, IIT Guwahati Development of Silicon Pad and Strip Detector in High Energy Physics Manoj Jadhav Department of Physics I.I.T. Bombay 2 Manoj Jadhav, IIT Bombay.

More information

VLSI Digital Systems Design

VLSI Digital Systems Design VLSI Digital Systems Design CMOS Processing cmpe222_03process_ppt.ppt 1 Si Purification Chemical purification of Si Zone refined Induction furnace Si ingot melted in localized zone Molten zone moved from

More information

Supporting Information for

Supporting Information for Electronic Supplementary Material (ESI) for Nanoscale. This journal is The Royal Society of Chemistry 2015 Supporting Information for Large-Scale Freestanding Nanometer-thick Graphite Pellicle for Mass

More information

EE BACKEND TECHNOLOGY - Chapter 11. Introduction

EE BACKEND TECHNOLOGY - Chapter 11. Introduction 1 EE 212 FALL 1999-00 BACKEND TECHNOLOGY - Chapter 11 Introduction Backend technology: fabrication of interconnects and the dielectrics that electrically and physically separate them. Aluminum N+ Early

More information

LIFT-OFF TECHNIQUE USING DIFFERENT E-BEAM WRITERS. Jana CHLUMSKÁ, Vladimír KOLAŘÍK, Stanislav KRÁTKÝ, Milan MATĚJKA, Michal URBÁNEK, Miroslav HORÁČEK

LIFT-OFF TECHNIQUE USING DIFFERENT E-BEAM WRITERS. Jana CHLUMSKÁ, Vladimír KOLAŘÍK, Stanislav KRÁTKÝ, Milan MATĚJKA, Michal URBÁNEK, Miroslav HORÁČEK LIFT-OFF TECHNIQUE USING DIFFERENT E-BEAM WRITERS Jana CHLUMSKÁ, Vladimír KOLAŘÍK, Stanislav KRÁTKÝ, Milan MATĚJKA, Michal URBÁNEK, Miroslav HORÁČEK Institute of Scientific Instruments of the ASCR, v.

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction Integrated circuits: many transistors on one chip. Very Large Scale Integration (VLSI): bucketloads! Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

Lecture 1A: Manufacturing& Layout

Lecture 1A: Manufacturing& Layout Introduction to CMOS VLSI Design Lecture 1A: Manufacturing& Layout David Harris Harvey Mudd College Spring 2004 Steven Levitan Fall 2008 1 The Manufacturing Process For a great tour through the IC manufacturing

More information

From microelectronics down to nanotechnology.

From microelectronics down to nanotechnology. From microelectronics down to nanotechnology sami.franssila@tkk.fi Contents Lithography: scaling x- and y-dimensions MOS transistor physics Scaling oxide thickness (z-dimension) CNT transistors Conducting

More information

Fabrication of Infrared Antennas using Electron Beam Lithography

Fabrication of Infrared Antennas using Electron Beam Lithography Invited Paper Fabrication of Infrared Antennas using Electron Beam Lithography Michael A Gritz*, Javier Gonzalez, and Glenn D. Boreman*a School of Optics/CREOL Abstract The methods of fabricating infrared

More information

MCC. NANO PMMA and Copolymer

MCC. NANO PMMA and Copolymer MCC PRODUCT ATTRIBUTES NANO and Submicron linewidth control (polymethyl methacrylate) is a versatile polymeric material that is well suited Sub 0.1µm imaging for many imaging and non-imaging microelectronic

More information

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process Chapter 3 A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process 3.1 Introduction Low-temperature poly-si (LTPS) TFTs

More information

Micro & nanofabrica,on

Micro & nanofabrica,on Micro & nanofabrica,on Photolitography : - contact - projec,on Electron Beam lithography (EBL) Nano imprint lithography Etching Contact Photolithography Substrate (e.g. Silicon wafer) Photoresist spinning

More information

Summary and Scope for further study

Summary and Scope for further study Chapter 6 Summary and Scope for further study 6.1 Summary of the present study Transparent electronics is an emerging science and technology field concentrated on fabricating invisible electronic circuits

More information

EE 143 CMOS Process Flow

EE 143 CMOS Process Flow EE 143 CMOS rocess Flow CT 84 D D G Sub G Sub S S G D S G D S + + + + - MOS Substrate Well - MOS Substrate EE 143 CMOS rocess Flow CT 85 hotoresist Si 3 4 SiO 2 Substrate selection: moderately high resistivity,

More information

Copper Interconnect Technology

Copper Interconnect Technology Tapan Gupta Copper Interconnect Technology i Springer Contents 1 Introduction 1 1.1 Trends and Challenges 2 1.2 Physical Limits and Search for New Materials 5 1.3 Challenges 6 1.4 Choice of Materials 7

More information

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther EECS 40 Spring 2003 Lecture 19 Microfabrication 4/1/03 Prof. ndy Neureuther How are Integrated Circuits made? Silicon wafers Oxide formation by growth or deposition Other films Pattern transfer by lithography

More information

Schematic creation of MOS field effect transistor.

Schematic creation of MOS field effect transistor. Schematic creation of MOS field effect transistor. Gate electrode Drain electrode Source electrode Gate oxide Gate length Page 1 Step 0 The positively doped silicon wafer is first coated with an insulating

More information

Chapter 7 NANOIMPRINTED CIRCULAR GRATING DISTRIBUTED FEEDBACK DYE LASER

Chapter 7 NANOIMPRINTED CIRCULAR GRATING DISTRIBUTED FEEDBACK DYE LASER Chapter 7 66 NANOIMPRINTED CIRCULAR GRATING DISTRIBUTED FEEDBACK DYE LASER 7.1 Introduction In recent years, polymer dye lasers have attracted much attention due to their low-cost processing, wide choice

More information

Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very

Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very high voltages (10-600 KeV) Use analyzer to selection charge/mass

More information

Vertically aligned Ni magnetic nanowires fabricated by diblock-copolymer-directed Al thin film anodization

Vertically aligned Ni magnetic nanowires fabricated by diblock-copolymer-directed Al thin film anodization Vertically aligned Ni magnetic nanowires fabricated by diblock-copolymer-directed Al thin film anodization Researcher: Kunbae (Kevin) Noh, Graduate Student, MAE Dept. and CMRR Collaborators: Leon Chen,

More information

VLSI. Lecture 1. Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University. Based on slides of David Money Harris

VLSI. Lecture 1. Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University. Based on slides of David Money Harris VLSI Lecture 1 Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University Based on slides of David Money Harris Goals of This Course Learn the principles of VLSI design Learn to design

More information

Fabrication Technologies and Instruments. The available fabrication technologies and instruments for fabricating the sub-wavelength

Fabrication Technologies and Instruments. The available fabrication technologies and instruments for fabricating the sub-wavelength Chapter 3 Fabrication Technologies and Instruments 3.1 Introduction The available fabrication technologies and instruments for fabricating the sub-wavelength grating will be described in this chapter.

More information

Lecture Day 2 Deposition

Lecture Day 2 Deposition Deposition Lecture Day 2 Deposition PVD - Physical Vapor Deposition E-beam Evaporation Thermal Evaporation (wire feed vs boat) Sputtering CVD - Chemical Vapor Deposition PECVD LPCVD MVD ALD MBE Plating

More information

UCF Physics Shared Facilities

UCF Physics Shared Facilities UCF Physics Shared Facilities What can we do? Wafer-scale fabrication including MEMS, optoelectronics, nano-photonics, semiconductor devices, microfluidics, etc. User facility Open to campus and community

More information

Amorphous and Polycrystalline Thin-Film Transistors

Amorphous and Polycrystalline Thin-Film Transistors Part I Amorphous and Polycrystalline Thin-Film Transistors HYBRID AMORPHOUS AND POLYCRYSTALLINE SILICON DEVICES FOR LARGE-AREA ELECTRONICS P. Mei, J. B. Boyce, D. K. Fork, G. Anderson, J. Ho, J. Lu, Xerox

More information

Why Probes Look the Way They Do Concepts and Technologies of AFM Probes Manufacturing

Why Probes Look the Way They Do Concepts and Technologies of AFM Probes Manufacturing Agilent Technologies AFM e-seminar: Understanding and Choosing the Correct Cantilever for Your Application Oliver Krause NanoWorld Services GmbH All mentioned company names and trademarks are property

More information

9/4/2008 GMU, ECE 680 Physical VLSI Design

9/4/2008 GMU, ECE 680 Physical VLSI Design ECE680: Physical VLSI Design Chapter II CMOS Manufacturing Process 1 Dual-Well Trench-Isolated CMOS Process gate-oxide TiSi 2 AlCu Tungsten SiO 2 p-well poly n-well SiO 2 n+ p-epi p+ p+ 2 Schematic Layout

More information

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam PHYS 534 (Fall 2008) Process Integration Srikar Vengallatore, McGill University 1 OUTLINE Examples of PROCESS FLOW SEQUENCES >Semiconductor diode >Surface-Micromachined Beam Critical Issues in Process

More information

Supplementary Information

Supplementary Information Supplementary Information Supplementary Figure 1 Characterization of precursor coated on salt template. (a) SEM image of Mo precursor coated on NaCl. Scale bar, 50 μm. (b) EDS of Mo precursor coated on

More information