New Applications of CMP for Non-Traditional Semiconductor Manufacturing. Robert L. Rhoades, Ph.D. Entrepix, Inc.

Size: px
Start display at page:

Download "New Applications of CMP for Non-Traditional Semiconductor Manufacturing. Robert L. Rhoades, Ph.D. Entrepix, Inc."

Transcription

1 New Applications of CMP for Non-Traditional Semiconductor Manufacturing Robert L. Rhoades, Ph.D. Entrepix, Inc.

2 Outline Introduction New Applications of CMP MEMS Non-CMOS Devices New Materials Epitaxial Layers and Engineered Substrates Direct Wafer Bonding Summary and Future Outlook

3 Introduction CMP has been a mainstream process <15 years CMP processes in CMOS flow include: Oxide (pre-metal or interlevel dielectric planarization) Tungsten (contacts, plugs, local interconnect) Shallow trench isolation Copper dual damascene Other technologies are now adapting CMP to solve planarization challenges Some require only process modifications and some require new pads and/or slurries

4 CMP Process Complexity Wafer / Materials Parameters Size / Shape / Flatness Film Stack Composition Metals (Al, Cu, W, Pt, etc.) Oxide (TEOS, PSG, BPSG, etc.) Other (polysilicon, low-k polymers, etc.) Film Quality Issues Stress (compressive or tensile) Inclusions and other defects Doping or contaminant levels Final Surface Requirements Ultralow surface roughness Extreme planarization, esp. Copper Low defectivity at <0.12 um defect size Pad Issues Materials (polyurethane, felt, foam, etc.) Properties must be chosen for the job Conditioning method often not optimized Lot-to-lot consistency Slurry Issues Chemistry optimization often required Mixing and associated inconsistency Shelf life and pot life sometimes very short Slurry distribution system (design, cost, upkeep) Agglomeration and gel formation Filtration is often required Cleaning method specific to slurry and film Waste disposal and local regulations Process Issues Long list of significant input variables Downforce Platen speed Carrier speed Slurry flow Conditioning method Disk used (material, diamond size, spacing, etc) Force Speed Sweep profile Highly sensitive to local pattern variation Must maintain consistency at high throughput Must optimize for variation of incoming films Integration Issues Materials Compatibility Electrochemical interactions with two or more metals Film integrity and delamination, esp. low-k Film stack compressibility Interactions with adjacent process modules Photolithography Metal deposition and metal etch Dielectric deposition and etch Electrical design interactions Feature size constraints Interactions with local pattern density Line resistance variation, esp. damascene copper Dielectric thickness variation Contact resistance variation Any one of these areas can create major headaches for process engineers & integration teams.

5 MEMS Applications Typical Devices: Accelerometers Torque sensors Optical devices Microfluidic processors Typical Materials Undoped oxides (TEOS, silane, etc.) Doped oxides (PSG, BPSG, etc.) Polysilicon Some metals (specialized apps) Key Aspects of the Application Materials and core processes generally adapted from CMOS fabrication CMP is an enabling technology for many designs Thicknesses and step heights substantially larger than typical of CMOS Lengthy polish times challenge process stability & consumables lifetime Photos downloaded from web sites, including Sandia National Lab

6 MEMS Processing Typical Parameters & Targets Film Thickness 2 15 microns Topography 2 20 microns Removal Rate > 0.5 um/min Planarization Efficiency > 90% MEMS Examples: Thick Poly Stop on oxide Thick Oxide Stop on poly Thick Oxide Stop on silicon Thick Metal Stop on oxide Tungsten Stop on oxide Copper Stop on oxide Any dielectric Stop mid-layer And many more

7 Example: MEMS over CMOS Key Process Metrics & Constraints Metric Incoming Value Post-CMP Target Actual Oxide film thickness 6.5 um 3.0 um 3.02 um Step Height 2.8 um < 0.4 um 0.2 um Removal Rate (um/min) n/a Critical Concerns: Final topography must be < 0.4um Smooth No sharp corners anywhere Batch to batch consistency Removal Rate (Ang/min) Run #

8 Selectivity for Specialized Integrations Example Parameter Top layer material Bottom layer material BPTEOS removal rate Selectivity (BPTEOS:SiN) Planarization Efficiency Value or Description BPTEOS oxide LPCVD silicon nitride 4900 Ang/min >25 : 1 > 98% Example: BPTEOS on Silicon Nitride Topography patterned in nitride Deposit doped (or undoped) TEOS for inlaid planarization layer Goal of CMP process is to stop on nitride without breaking through and planarize across all inlaid features Other Systems Polysilicon over oxides Oxides over single crystal silicon Inlaid metals (damascene)

9 Direct Wafer Bonding Typical Materials Silicon-on-Something Ge-on-Something TEOS over almost anything Compound semi sandwich Inlaid structures Types of Devices High performance substrates Integrated optics devices Buried device functionality Key Aspects of the Technology Surface roughness is generally the most critical metric Short range and long range topography also major metrics on patterned wafers Post-CMP cleaning is often supplemented by aggressive pre-bond cleaning New applications for DWB are emerging at a rapid pace

10 Examples: DWB Material Stack TEOS on Silicon Incoming Ra (A) 7 Post-CMP Ra (A) 3 Example #1: TEOS on X Oxide surfaces tend to bond well when polished to sufficiently low Ra TEOS on SiC TEOS on Polysilicon TEOS on AlN TEOS on Metal Incoming roughness driven by surface prep of underlying material Sufficient oxide thickness must be deposited to remove at least 2x initial peak-to-valley roughness Example #2: Inlaid Cu in TEOS Incoming topography >2.5 ka Goal of <200 A total topography Flat across Feature POST-CMP TOPOGRAPHY ACHIEVED Angstroms

11 Backside Stress Relief CMP Backgrind is a nearly universal technique for wafer thinning CMOS, discrete devices, MEMS, sensors, etc. Different device technologies have different drivers. [1] Packaging (space limitations, 3D systems, ultrathin applications, etc.) Heat dissipation Lower noise and other electrical performance improvements Damage created during backgrind creates a compressive stress layer that penetrates into the Si crystal. (Previous studies indicate a depth between 8 and 30 microns.) [2] Wafer and die strength are compromised by the damage layer. [3] CMP is an effective technique for creating low-defect surfaces and can be used to remove the damage layer (similar to prime wafer polishing). [1] M. Reiche and G. Wagner, Wafer Thinning: Techniques for Ultra-thin Wafers, Advanced Packaging, March [2] C. McHatton and C. Gumbart, Eliminating Backgrind Defects with Wet Chemical Etching, Solid State Technology, November [3] E. Gaulhofer, Wafer Thinning and Strength Enhancement to Meet Emerging Packaging Requirements, IEMT Symposium, IEEE, April 2000.

12 Example: Backside CMP 7 6 Significant subsurface damage evident as pits or scratch tracks after decoration etch Minimal surface pitting after decoration etch 5 As ground surface (20x objective lens) Deep grooves easily seen with naked eye Surface Rating Post decoration etch (50x objective lens) Clean (no visible particles) with very mild etch roughening No pits or strong dislocation lines observed Thickness Removed (um) Conclusion Regardless of backgrind or CMP process parameters, decoration etch does not reveal significant damage as long as CMP removes at least 4.5 um of silicon.

13 New Materials Reasons for introducing new materials Enhance performance of next generation device Develop completely new type of device Improve yield or lower cost Largest volume application is CMOS Strained layer technology Low-k dielectrics Pt, Ru, or other refractory metals Many new device applications being developed

14 Evolution of CMP applications... And that s just Si electronics!! Future Trend?

15 Engineered Substrates Typical Materials SOI Strained Layer SiGe Custom III-V or II-IV composites Epi Layer Base Substrate Key Aspects of the Application SOI helps circuit isolation and power consumption (less coupling) Strained layer technology being used to increase carrier mobility in Si devices Heteroexpitaxy of mismatched materials seeing growing # of applications Usually creates huge density of threading dislocations and other issues Extremely high roughness needs to be polished to achieve <1nm Ra Some materials (esp. II-IV blends) difficult to polish w/o anisotropic etching Cleaning of polished surfaces is often difficult (some are etched by NH4OH)

16 Specialty Substrates: SiGe Layers Metric Incoming Value Target Actual Surface Roughness, Ra >10 nm <1 nm nm Removal Rate n/a >500 A/min A/min Total Mtrl Removal n/a um Within 5% Polish Rate (Ang/min) Roughness, Ra (nm) % 20% 40% 60% 80% 100% Epi Layer %Ge 0 Pre-CMP Post-CMP

17 Other Novel CMP Applications Integrated Optics Waveguides Reflective surfaces Active switches, multiplexers, etc. In-plane or through plane Packaging Applications Large Cu vias and feedthroughs Polymer planarization for multiple layers Compound Semiconductor Devices Unique integration schemes and process flows Much more delicate substrates Generally involve smaller wafer sizes (100mm, etc.)

18 Current Status CMP is accepted as a mainstream process Adaptation to numerous other technologies is well underway Often involve materials not found in CMOS mfg Film thickness can be 10 s of microns or more! Specialization of pads/slurries is usually only required if standard products fail Difficult to predict which segments will grow the fastest, but MEMS has the lead CMP suppliers and technologists will continue to be challenged as new applications emerge

19 Acknowledgments Jeanie Simmons, Terry Pfau, Paul Lenkersdorfer, Donna Grannis, Dwaine Halberg, and the rest of the Entrepix process staff. For more information, please contact: Rob Rhoades Chief Technology Officer Tel: Fax: Mike Bowman Director of Business Development Tel: Bob Tucker VP and General Manager Tel:

Notable Trends in CMP: Past, Present and Future

Notable Trends in CMP: Past, Present and Future Notable Trends in CMP: Past, Present and Future Semiconductor International February 15 th, 2007 Pete Singer Editor-in-Chief Levitronix CMP Users Conference 2007 April 1988: Etchback, SOG November 1990:

More information

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA Overview of CMP for TSV Applications Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA Outline TSV s and the Role of CMP TSV Pattern and Fill TSV Reveal (non-selective)

More information

CMP Process Development Techniques for New Materials. Robert L. Rhoades, Ph.D. ECS 213 th Meeting (Phoenix, AZ) May 19-21, 2008

CMP Process Development Techniques for New Materials. Robert L. Rhoades, Ph.D. ECS 213 th Meeting (Phoenix, AZ) May 19-21, 2008 CMP Process Development Techniques for New Materials Robert L. Rhoades, Ph.D. ECS 213 th Meeting (Phoenix, AZ) May 19-21, 2008 Outline Background and Industry Drivers Generalized Development Sequence CMP

More information

The ABC s of CMP for DWB and SOI. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010

The ABC s of CMP for DWB and SOI. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010 The ABC s of CMP for DWB and SOI Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010 Outline Introduction Direct Wafer Bonding (DWB) Background CMP for DWB Silicon-On-Insulator (SOI) Background

More information

CMP for Thru-Silicon Vias TSV Overview & Examples March 2009

CMP for Thru-Silicon Vias TSV Overview & Examples March 2009 CMP for Thru-Silicon Vias TSV Overview & Examples March 2009 Packaging Evolution Source: Yole Dev 2007 2 3D Integration Source: Yole Dev 2007 Growth rates for 3D integration Flash continues to drive the

More information

New CMP Applications And Opportunities for Improvement. Robert L. Rhoades, Ph.D. Presentation for Levitronix Conference May 2011

New CMP Applications And Opportunities for Improvement. Robert L. Rhoades, Ph.D. Presentation for Levitronix Conference May 2011 New CMP Applications And Opportunities for Improvement Robert L. Rhoades, Ph.D. Presentation for Levitronix Conference May 2011 Outline Background TSV s Diamond CMP Opportunities for Improvement Summary

More information

New Applications for CMP: Solving the Technical and Business Challenges. Robert L. Rhoades, Ph.D. NSTI Conference (Houston, TX) May 5, 2009

New Applications for CMP: Solving the Technical and Business Challenges. Robert L. Rhoades, Ph.D. NSTI Conference (Houston, TX) May 5, 2009 New Applications for CMP: Solving the Technical and Business Challenges Robert L. Rhoades, Ph.D. NSTI Conference (Houston, TX) May 5, 2009 Outline Background and Business Climate for CMP Technical Approach

More information

New Applications of Chemical Mechanical Planarization

New Applications of Chemical Mechanical Planarization New Applications of Chemical Mechanical Planarization Robert L. Rhoades, Ph.D. Semiconductor Equipment Spare Parts and Service CMP Foundry AVS Joint Meeting San Jose, CA Feb 19, 2015 Welcome to Entrepix

More information

Balancing Technical and Business Challenges in CMP R&D. Robert L. Rhoades, Ph.D. CAMP Conference (Lake Placid, NY) August 10-12, 2009

Balancing Technical and Business Challenges in CMP R&D. Robert L. Rhoades, Ph.D. CAMP Conference (Lake Placid, NY) August 10-12, 2009 Balancing Technical and Business Challenges in CMP R&D Robert L. Rhoades, Ph.D. CAMP Conference (Lake Placid, NY) August 10-12, 2009 Outline Background and Business Climate for CMP STORM Development CMP

More information

The History & Future of

The History & Future of The History & Future of CMP CMPUG July 2008 Karey Holland, Ph.D. kholland@nexplanar.com Ken Cadien, Ph.D. University of Alberta kcadien@ualberta.ca http://www.nexplanar.com http://www.ualberta.ca/ Outline

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time Etching Dry etch (anisotropic) SiO

More information

Czochralski Crystal Growth

Czochralski Crystal Growth Czochralski Crystal Growth Crystal Pulling Crystal Ingots Shaping and Polishing 300 mm wafer 1 2 Advantage of larger diameter wafers Wafer area larger Chip area larger 3 4 Large-Diameter Wafer Handling

More information

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley Nonplanar Metallization Planar Metallization Passivation Metal 5 (copper) Metal 3 (copper) Interlevel dielectric (ILD) Via (tungsten) Metal 1 (copper) Tungsten Plug to Si Silicon Caps and Plugs oxide oxide

More information

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley Microstructure of Electronic Materials Amorphous materials Single-Crystal Material 1 The Si Atom The Si Crystal diamond structure High-performance semiconductor devices require defect-free crystals 2 Crystallographic

More information

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

Semiconductor Manufacturing Technology. IC Fabrication Process Overview Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 00 by Prentice Hall Chapter 9 IC Fabrication Process Overview /4 Objectives After studying the material in this chapter, you

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

Hardware and Process Solutions to Evolving CMP Needs. - or - CMP Challenges How Can We Polish THAT?

Hardware and Process Solutions to Evolving CMP Needs. - or - CMP Challenges How Can We Polish THAT? Hardware and Process Solutions to Evolving CMP Needs - or - CMP Challenges How Can We Polish THAT? Robert L. Rhoades (Entrepix) and Paul M. Feeney (Axus Technology) Presented at TechXPOT North - Semicon

More information

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI CMOS Technology Flow varies with process types & company N-Well CMOS Twin-Well CMOS STI Start with substrate selection Type: n or p Doping level, resistivity Orientation, 100, or 101, etc Other parameters

More information

SKW Wafer Product List

SKW Wafer Product List SKW Wafer Product List Regularly updated (2.13.2018) SKW Associates, INC. 2920 Scott Blvd, Santa Clara, CA 95054 Tel: 408-919-0094, Fax: 408-919-0097 I. Available Wafers in 200mm and 300mm - Please refer

More information

200mm Next Generation MEMS Technology update. Florent Ducrot

200mm Next Generation MEMS Technology update. Florent Ducrot 200mm Next Generation MEMS Technology update Florent Ducrot The Most Exciting Industries on Earth Semiconductor Display Solar 20,000,000x reduction in COST PER TRANSISTOR in 30 years 1 20x reduction in

More information

IC Fabrication Technology Part III Devices in Semiconductor Processes

IC Fabrication Technology Part III Devices in Semiconductor Processes EE 330 Lecture 10 IC Fabrication Technology Part III Metalization and Interconnects Parasitic Capacitances Back-end Processes Devices in Semiconductor Processes Resistors Diodes Review from Last Lecture

More information

ECE321 Electronics I

ECE321 Electronics I ECE321 Electronics I Lecture 19: CMOS Fabrication Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Tuesday 2:00-3:00PM or by appointment E-mail: payman@ece.unm.edu Slide: 1 Miller Effect Interconnect

More information

Slurry Design Evolution. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 14, 2012

Slurry Design Evolution. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 14, 2012 Slurry Design Evolution Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 14, 2012 Outline Introduction to Slurry Design Birth of a Sub-Industry (Early Years) Slurry Evolution and Revolution

More information

EE 330 Lecture 9. IC Fabrication Technology Part 2

EE 330 Lecture 9. IC Fabrication Technology Part 2 EE 330 Lecture 9 IC Fabrication Technology Part 2 Quiz 8 A 2m silicon crystal is cut into wafers using a wire saw. If the wire diameter is 220um and the wafer thickness is 350um, how many wafers will this

More information

Dr. Lynn Fuller Webpage:

Dr. Lynn Fuller Webpage: ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Microelectromechanical Systems (MEMs) Process Integration Dr. Lynn Fuller Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester,

More information

Chapter 4 : ULSI Process Integration (0.18 m CMOS Process)

Chapter 4 : ULSI Process Integration (0.18 m CMOS Process) Chapter : ULSI Process Integration (0.8 m CMOS Process) Reference. Semiconductor Manufacturing Technology : Michael Quirk and Julian Serda (00). - (00). Semiconductor Physics and Devices- Basic Principles(/e)

More information

Test Patterns for Chemical Mechanical Polish Characterization

Test Patterns for Chemical Mechanical Polish Characterization Dobek S: CMP Characterization 15th Annual Microelectronic Engineering Conference, 1997 Test Patterns for Chemical Mechanical Polish Characterization Stanley 3. Dobek Senior Microelectronic Engineering

More information

EE C245 ME C218 Introduction to MEMS Design

EE C245 ME C218 Introduction to MEMS Design EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 10: Surface

More information

Isolation Technology. Dr. Lynn Fuller

Isolation Technology. Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Isolation Technology Dr. Lynn Fuller Motorola Professor 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Fax (585) 475-5041

More information

MEMS Devices. Fraunhofer Institute for Silicon Technology ISIT. Itzehoe, Germa. any

MEMS Devices. Fraunhofer Institute for Silicon Technology ISIT. Itzehoe, Germa. any Examples of CMP Processess for the Manufacturing of MEMS Devices Gerfried Zwicke er Fraunhofer Institute for Silicon Technology ISIT Itzehoe, Germa any gerfried.zwicker@isit.fraunhofer.de Contents MEMS

More information

EE 434 Lecture 9. IC Fabrication Technology

EE 434 Lecture 9. IC Fabrication Technology EE 434 Lecture 9 IC Fabrication Technology Quiz 7 The layout of a film resistor with electrodes A and B is shown. If the sheet resistance of the film is 40 /, determine the resistance between nodes A and

More information

Motorola PC603R Microprocessor

Motorola PC603R Microprocessor Construction Analysis Motorola PC603R Microprocessor Report Number: SCA 9709-551 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780 Fax:

More information

Lecture 7 CMOS MEMS. CMOS MEMS Processes. CMOS MEMS Processes. Why CMOS-MEMS? Agenda: CMOS MEMS: Fabrication. MEMS structures can be made

Lecture 7 CMOS MEMS. CMOS MEMS Processes. CMOS MEMS Processes. Why CMOS-MEMS? Agenda: CMOS MEMS: Fabrication. MEMS structures can be made EEL6935 Advanced MEMS (Spring 2005) Instructor: Dr. Huikai Xie CMOS MEMS Agenda: Lecture 7 CMOS MEMS: Fabrication Pre-CMOS Intra-CMOS Post-CMOS Deposition Etching Why CMOS-MEMS? Smart on-chip CMOS circuitry

More information

Lecture 5. SOI Micromachining. SOI MUMPs. SOI Micromachining. Silicon-on-Insulator Microstructures. Agenda:

Lecture 5. SOI Micromachining. SOI MUMPs. SOI Micromachining. Silicon-on-Insulator Microstructures. Agenda: EEL6935 Advanced MEMS (Spring 2005) Instructor: Dr. Huikai Xie SOI Micromachining Agenda: SOI Micromachining SOI MUMPs Multi-level structures Lecture 5 Silicon-on-Insulator Microstructures Single-crystal

More information

Copper Interconnect Technology

Copper Interconnect Technology Tapan Gupta Copper Interconnect Technology i Springer Contents 1 Introduction 1 1.1 Trends and Challenges 2 1.2 Physical Limits and Search for New Materials 5 1.3 Challenges 6 1.4 Choice of Materials 7

More information

Engineered Substrates

Engineered Substrates Engineered Substrates Engineered Substrates Using the NanoCleave TM Process Francois J. Henley President and CEO Silicon Genesis Corporation San Jose, California SiGen Presentation Outline Engineered Substrates

More information

Exam 1 Friday Sept 22

Exam 1 Friday Sept 22 Exam 1 Friday Sept 22 Students may bring 1 page of notes Next weeks HW assignment due on Wed Sept 20 at beginning of class No 5:00 p.m extension so solutions can be posted Those with special accommodation

More information

IMPACT Seminar. Title: Past, present, and future of CMP Faculty: David Dornfeld Department: Mechanical Engineering University: Berkeley IMPACT

IMPACT Seminar. Title: Past, present, and future of CMP Faculty: David Dornfeld Department: Mechanical Engineering University: Berkeley IMPACT 1 Seminar Title: Past, present, and future of Faculty: David Dornfeld Department: Mechanical Engineering University: Berkeley 2 Overview Outline History and Future Development (courtesy of Ken Cadien,

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 9/13/2007 Fabrication Technology Lecture 1 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world)

More information

Progress in Monolithic III-V/Si and towards processing III-V Devices in Silicon Manufacturing. E.A. (Gene) Fitzgerald

Progress in Monolithic III-V/Si and towards processing III-V Devices in Silicon Manufacturing. E.A. (Gene) Fitzgerald Progress in Monolithic III-V/Si and towards processing III-V Devices in Silicon Manufacturing E.A. (Gene) Fitzgerald M.J. Mori, C.L.Dohrman, K. Chilukuri MIT Cambridge, MA USA Funding: MARCO IFC and Army

More information

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules 2. CMOS Fabrication, Layout, Design Rules Last module: Introduction to the course How a transistor works CMOS transistors This module: CMOS Fabrication Design Rules CMOS Fabrication CMOS transistors are

More information

Intel Pentium Processor W/MMX

Intel Pentium Processor W/MMX Construction Analysis Intel Pentium Processor W/MMX Report Number: SCA 9706-540 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax:

More information

CMP Applications and Issues Part 3

CMP Applications and Issues Part 3 CMP Applications and Issues Part 3 By Christopher Henderson This is the third and final part in a series on Chemical Mechanical Polishing (CMP) applications and issues. An important aspect of CMP is end

More information

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1 Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1 LECTURE 030 INTEGRATED CIRCUIT TECHNOLOGY - I (References [7,8]) Objective The objective of this presentation is: 1.) Illustrate integrated

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

Lecture #18 Fabrication OUTLINE

Lecture #18 Fabrication OUTLINE Transistors on a Chip Lecture #18 Fabrication OUTLINE IC Fabrication Technology Introduction the task at hand Doping Oxidation Thin-film deposition Lithography Etch Lithography trends Plasma processing

More information

CMOS Manufacturing process. Design rule set

CMOS Manufacturing process. Design rule set CMOS Manufacturing process Circuit design Set of optical masks Fabrication process Circuit designer Design rule set Process engineer All material: Chap. 2 of J. Rabaey, A. Chandrakasan, B. Nikolic, Digital

More information

Altera EPM7128SQC EPLD

Altera EPM7128SQC EPLD Construction Analysis Altera EPM7128SQC160-15 EPLD Report Number: SCA 9712-569 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780 Fax:

More information

Welcome MNT Conference 1 Albuquerque, NM - May 2010

Welcome MNT Conference 1 Albuquerque, NM - May 2010 Welcome MNT Conference 1 Albuquerque, NM - May 2010 Introduction to Design Outline What is MEMs Design General Considerations Application Packaging Process Flow What s available Sandia SUMMiT Overview

More information

INTEGRATED-CIRCUIT TECHNOLOGY

INTEGRATED-CIRCUIT TECHNOLOGY INTEGRATED-CIRCUIT TECHNOLOGY 0. Silicon crystal growth and wafer preparation 1. Processing Steps 1.1. Photolitography 1.2. Oxidation 1.3. Layer Deposition 1.4. Etching 1.5. Diffusion 1.6 Backend: assembly,

More information

Polishing of Bulk Micro-Machined Substrates by Fixed Abrasive Pads for Smoothing and Planarization of MEMS Structures

Polishing of Bulk Micro-Machined Substrates by Fixed Abrasive Pads for Smoothing and Planarization of MEMS Structures PAPER D Polishing of Bulk Micro-Machined Substrates by Fixed Abrasive Pads for Smoothing and Planarization of MEMS Structures In: Proceedings of IEEE/SEMI Advanced Semiconductors Manufacturing Conference

More information

Chapter 2 Manufacturing Process

Chapter 2 Manufacturing Process Digital Integrated Circuits A Design Perspective Chapter 2 Manufacturing Process 1 CMOS Process 2 CMOS Process (n-well) Both NMOS and PMOS must be built in the same silicon material. PMOS in n-well NMOS

More information

Analog Devices ADSP KS-160 SHARC Digital Signal Processor

Analog Devices ADSP KS-160 SHARC Digital Signal Processor Construction Analysis Analog Devices ADSP-21062-KS-160 SHARC Digital Signal Processor Report Number: SCA 9712-575 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale,

More information

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant substrate Creates latch up protection for CMOS Buried Epi

More information

Xilinx XC4036EX FPGA

Xilinx XC4036EX FPGA Construction Analysis Xilinx XC4036EX FPGA Report Number: SCA 9706-544 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax: 602-948-1925

More information

Post Cleaning Chemical of Tungsten Chemical Mechanical Planarization for Memory Devices. Jun Yong Kim Cleaning/CMP Technology

Post Cleaning Chemical of Tungsten Chemical Mechanical Planarization for Memory Devices. Jun Yong Kim Cleaning/CMP Technology Post Cleaning Chemical of Tungsten Chemical Mechanical Planarization for Memory Devices Jun Yong Kim Cleaning/CMP Technology 1. CMP Process and Cleaning challenges 2. Problem Statement 3. Results of Cleaning

More information

Regents of the University of California

Regents of the University of California Topography Issues Degradation of lithographic resolution PR step coverage, streaking Thickness differences pose problems for reduction steppers Direction of Spin PR PR PR Stringers Problematic when using

More information

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon Chapter 5 Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon 5.1 Introduction In this chapter, we discuss a method of metallic bonding between two deposited silver layers. A diffusion

More information

IC/MEMS Fabrication - Outline. Fabrication

IC/MEMS Fabrication - Outline. Fabrication IC/MEMS Fabrication - Outline Fabrication overview Materials Wafer fabrication The Cycle: Deposition Lithography Etching Fabrication IC Fabrication Deposition Spin Casting PVD physical vapor deposition

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time IC Fabrication Technology Crystal Preparation

More information

EE BACKEND TECHNOLOGY - Chapter 11. Introduction

EE BACKEND TECHNOLOGY - Chapter 11. Introduction 1 EE 212 FALL 1999-00 BACKEND TECHNOLOGY - Chapter 11 Introduction Backend technology: fabrication of interconnects and the dielectrics that electrically and physically separate them. Aluminum N+ Early

More information

9/4/2008 GMU, ECE 680 Physical VLSI Design

9/4/2008 GMU, ECE 680 Physical VLSI Design ECE680: Physical VLSI Design Chapter II CMOS Manufacturing Process 1 Dual-Well Trench-Isolated CMOS Process gate-oxide TiSi 2 AlCu Tungsten SiO 2 p-well poly n-well SiO 2 n+ p-epi p+ p+ 2 Schematic Layout

More information

SUMMiT V Five Level Surface Micromachining Technology Design Manual

SUMMiT V Five Level Surface Micromachining Technology Design Manual SUMMiT V Five Level Surface Micromachining Technology Design Manual Version 1.3 09/22/2005 MEMS Devices and Reliability Physics Department Microelectronics Development Laboratory Sandia National Laboratories

More information

Wafer Scale Packaging of MEMS by Using Plasma-Activated Wafer Bonding

Wafer Scale Packaging of MEMS by Using Plasma-Activated Wafer Bonding PUBLICATION B Wafer Scale Packaging of MEMS by Using Plasma-Activated Wafer Bonding Journal of The Electrochemical Society, Vol. 153, No. 1, (2006), pp. G78 G82. Reprinted by permission of ECS The Electrochemical

More information

Lecture 22: Integrated circuit fabrication

Lecture 22: Integrated circuit fabrication Lecture 22: Integrated circuit fabrication Contents 1 Introduction 1 2 Layering 4 3 Patterning 7 4 Doping 8 4.1 Thermal diffusion......................... 10 4.2 Ion implantation.........................

More information

1.1 Background Cu Dual Damascene Process and Cu-CMP

1.1 Background Cu Dual Damascene Process and Cu-CMP Chapter I Introduction 1.1 Background 1.1.1 Cu Dual Damascene Process and Cu-CMP In semiconductor manufacturing, we always directed toward adding device speed and circuit function. Traditionally, we focused

More information

Surface micromachining and Process flow part 1

Surface micromachining and Process flow part 1 Surface micromachining and Process flow part 1 Identify the basic steps of a generic surface micromachining process Identify the critical requirements needed to create a MEMS using surface micromachining

More information

Development of a New Tungsten Pad Utilizing Outsource CMP Capabilities

Development of a New Tungsten Pad Utilizing Outsource CMP Capabilities Development of a New Tungsten Pad Utilizing Outsource CMP Capabilities by Robert L. Rhoades; Entrepix, Inc., John Bare, Anthony J. Clark, and Ed Atkinson; psiloquest, Inc. Presented to CMP-MIC 2005 Summary

More information

AVS CMP Users Group Meeting

AVS CMP Users Group Meeting AVS CMP Users Group Meeting High Selectivity Ceria Slurry for Next Generation STI CMP Processes Nate D. Urban 4/07/2016 Outline Introduction to Ferro Shallow trench isolation (STI) Silicon nitride passivation

More information

EE 330 Lecture 8. IC Fabrication Technology Part II. - Oxidation - Epitaxy - Polysilicon - Interconnects

EE 330 Lecture 8. IC Fabrication Technology Part II. - Oxidation - Epitaxy - Polysilicon - Interconnects EE 330 Lecture 8 IC Fabrication Technology Part II - Oxidation - Epitaxy - Polysilicon - Interconnects Review from Last Time MOS Transistor Bulk Source Gate Drain p-channel MOSFET Lightly-doped n-type

More information

DEC SA-110S StrongARM 32-Bit Microprocessor

DEC SA-110S StrongARM 32-Bit Microprocessor Construction Analysis DEC SA-110S StrongARM 32-Bit Microprocessor Report Number: SCA 9704-535 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone:

More information

Chemical Mechanical Planarization

Chemical Mechanical Planarization 1 Chemical Mechanical Planarization SFR Workshop & Review November 14, 2002 David Dornfeld, Fiona Doyle, Costas Spanos, Jan Talbot Berkeley, CA 2 Focus of this presentation CMP research milestones in SFR

More information

Fabrication Technology, Part II

Fabrication Technology, Part II EEL5225: Principles of MEMS Transducers (Fall 2003) Fabrication Technology, Part II Agenda: Process Examples TI Micromirror fabrication process SCREAM CMOS-MEMS processes Wafer Bonding LIGA Reading: Senturia,

More information

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant substrate Creates latch up protection for CMOS Buried Epi

More information

CSCI 4974 / 6974 Hardware Reverse Engineering. Lecture 5: Fabrication processes

CSCI 4974 / 6974 Hardware Reverse Engineering. Lecture 5: Fabrication processes CSCI 4974 / 6974 Hardware Reverse Engineering Lecture 5: Fabrication processes QUIZ 3: CMOS layout Quiz Discussion Rationale If you know how something is put together, you can figure out how to take it

More information

Mark T. Bohr Intel Senior Fellow, Technology and Manufacturing Group Director, Process Architecture and Integration INTEL CORPORATION

Mark T. Bohr Intel Senior Fellow, Technology and Manufacturing Group Director, Process Architecture and Integration INTEL CORPORATION Mark T. Bohr Intel Senior Fellow, Technology and Manufacturing Group Director, Process Architecture and Integration INTEL CORPORATION Patents» 6762464, N-P butting connections on SOI substrates, 7/13/2004.»

More information

Integrated Processes. Lecture Outline

Integrated Processes. Lecture Outline Integrated Processes Thara Srinivasan Lecture 14 Picture credit: Lemkin et al. Lecture Outline From reader Bustillo, J. et al., Surface micromachining of MEMS, pp. 1556-9. A.E. Franke et al., Polycrystalline

More information

Lattice isplsi1032e CPLD

Lattice isplsi1032e CPLD Construction Analysis Lattice isplsi1032e CPLD Report Number: SCA 9612-522 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax: 602-948-1925

More information

Enabling Technology in Thin Wafer Dicing

Enabling Technology in Thin Wafer Dicing Enabling Technology in Thin Wafer Dicing Jeroen van Borkulo, Rogier Evertsen, Rene Hendriks, ALSI, platinawerf 2G, 6641TL Beuningen Netherlands Abstract Driven by IC packaging and performance requirements,

More information

Surface Micromachining

Surface Micromachining Surface Micromachining Micro Actuators, Sensors, Systems Group University of Illinois at Urbana-Champaign Outline Definition of surface micromachining Most common surface micromachining materials - polysilicon

More information

Integration Issues with Cu CMP

Integration Issues with Cu CMP Integration Issues with Cu CMP Copper CMP Integrated Solutions Michael R. Oliver Rodel, Inc. December 3, 2003 Outline Dual Damascene Approach Requirements Impact of Cu Deposition Topography Issues Sensitivity

More information

Evaluation of Copper CMP Process Characterization Wafers

Evaluation of Copper CMP Process Characterization Wafers SKW Associates, Inc. Evaluation of Copper CMP Process Characterization Wafers SKW6-3 & SKW6-5 SooKap Hahn Jan 15, 2005 Polish Proposal 1 Planned Polishing: Week of Dec 20 th Customer: SKW Associates Inc.

More information

Regents of the University of California 1

Regents of the University of California 1 Electroplating: Metal MEMS Nickel Surface-Micromachining Process Flow Photoresist Wafer Release Etchant Use electroplating to obtain metal μstructures When thick: call it LIGA Pros: fast low temp deposition,

More information

Extending Etch and Deposition Capabilities for Implementation of 3D Packaging of MEMS in Volume Production

Extending Etch and Deposition Capabilities for Implementation of 3D Packaging of MEMS in Volume Production Extending Etch and Deposition Capabilities for Implementation of 3D Packaging of MEMS in Volume Production David Butler, VP Product Management & Marketing SPTS Technologies Contents Industry Trends TSV

More information

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam PHYS 534 (Fall 2008) Process Integration Srikar Vengallatore, McGill University 1 OUTLINE Examples of PROCESS FLOW SEQUENCES >Semiconductor diode >Surface-Micromachined Beam Critical Issues in Process

More information

6.777J/2.732J Design and Fabrication of Microelectromechanical Devices Spring Term Solution to Problem Set 2 (16 pts)

6.777J/2.732J Design and Fabrication of Microelectromechanical Devices Spring Term Solution to Problem Set 2 (16 pts) 6.777J/2.732J Design and Fabrication of Microelectromechanical Devices Spring Term 2007 By Brian Taff (Adapted from work by Feras Eid) Solution to Problem Set 2 (16 pts) Issued: Lecture 4 Due: Lecture

More information

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS Contents: VI Sem ECE 06EC63: Analog and Mixed Mode VLSI Design PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS 1. Introduction 2. CMOS Fabrication 3. Simplified View of Fabrication Process 3.1 Alternative

More information

Method For Stripping Copper In Damascene Interconnects >>>CLICK HERE<<<

Method For Stripping Copper In Damascene Interconnects >>>CLICK HERE<<< Method For Stripping Copper In Damascene Interconnects Damascene, or acid copper plating baths, have been in use since the mid 19th century on decorative items and machinery.1,2 The process generally uses

More information

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development TSV Processing and Wafer Stacking Kathy Cook and Maggie Zoberbier, 3D Business Development Outline Why 3D Integration? TSV Process Variations Lithography Process Results Stacking Technology Wafer Bonding

More information

EE 330 Lecture 12. Devices in Semiconductor Processes

EE 330 Lecture 12. Devices in Semiconductor Processes EE 330 Lecture 12 Devices in Semiconductor Processes Review from Lecture 9 Copper Interconnects Limitations of Aluminum Interconnects Electromigration Conductivity not real high Relevant Key Properties

More information

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation. Figure 2.1 (p. 58) Basic fabrication steps in the silicon planar process: (a) oxide formation, (b) selective oxide removal, (c) deposition of dopant atoms on wafer, (d) diffusion of dopant atoms into exposed

More information

Manufacturing Process

Manufacturing Process Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Manufacturing Process July 30, 2002 1 CMOS Process 2 A Modern CMOS Process gate-oxide TiSi 2 AlCu Tungsten

More information

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras Lecture - 32 IC BJT - From junction isolation to LOCOS So, by now, we have completed all

More information

CMP COST ISSUES & IMPACT ON CONSUMABLES FOR MEMORY AND LOGIC

CMP COST ISSUES & IMPACT ON CONSUMABLES FOR MEMORY AND LOGIC CMP COST ISSUES & IMPACT ON CONSUMABLES FOR MEMORY AND LOGIC CMPUG @CNSE April 16, 2016 Mike Corbett Managing Partner mcorbett@linx-consulting.com Agenda INTRODUCTION TO LINX CONSULTING SEMI INDUSRTY OUTLOOK

More information

A modular process for integrating thick polysilicon MEMS devices with sub-micron CMOS

A modular process for integrating thick polysilicon MEMS devices with sub-micron CMOS A modular process for integrating thick polysilicon MEMS devices with sub-micron CMOS John Yasaitis a, Michael Judy a, Tim Brosnihan a, Peter Garone a, Nikolay Pokrovskiy a, Debbie Sniderman a,scottlimb

More information

A Nano-thick SOI Fabrication Method

A Nano-thick SOI Fabrication Method A Nano-thick SOI Fabrication Method C.-H. Huang 1, J.T. Cheng 1, Y.-K. Hsu 1, C.-L. Chang 1, H.-W. Wang 1, S.-L. Lee 1,2, and T.-H. Lee 1,2 1 Dept. of Mechanical Engineering National Central University,

More information

Integrated Circuit Engineering Corporation. DRAMs

Integrated Circuit Engineering Corporation. DRAMs DRAMs As generally known, the focus of technology in this product category continues to be complex vertical polysilicon structures to reduce cell area. This not only pushes the limits of deposition and

More information

Xilinx XC4036XL-1C FPGA

Xilinx XC4036XL-1C FPGA Construction Analysis Xilinx XC4036XL-1C FPGA Report Number: SCA 9709-553 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780 Fax: 602-515-9781

More information

Surface Micromachining and Inertial Sensors

Surface Micromachining and Inertial Sensors Surface Micromachining and Inertial Sensors Tutorial 2A Bob Sulouff Analog Devices Inc. Cambridge, MA. 02139 Bob.Sulouff@Analog.com 617-761- Presentation Outline Technology of MEMS Surface Micromachined

More information

5.8 Diaphragm Uniaxial Optical Accelerometer

5.8 Diaphragm Uniaxial Optical Accelerometer 5.8 Diaphragm Uniaxial Optical Accelerometer Optical accelerometers are based on the BESOI (Bond and Etch back Silicon On Insulator) wafers, supplied by Shin-Etsu with (100) orientation, 4 diameter and

More information