Study of Adhesion Properties of Cu on Photosensitive Insulation Film for Next Generation Packaging

Size: px
Start display at page:

Download "Study of Adhesion Properties of Cu on Photosensitive Insulation Film for Next Generation Packaging"

Transcription

1 Journal of Photopolymer Science and Technology Volume 28, Number 1 (2015) SPST Study of Adhesion Properties of Cu on Photosensitive Insulation Film for Next Generation Packaging Kenichi Iwashita, Tetsuya Katoh, Akihiro Nakamura, Yasuharu Murakami, Tomio Iwasaki, Yuka Sugimasa, Jun Nunoshige and Hiroshi Nakano Tsukuba Research Laboratory, Hitachi Chemical Co. Ltd., Hitachi, Ibaraki Japan Hitachi Research Laboratory, Hitachi, Ltd., 832-2, Horiguchi, Hitachinaka, Ibaraki , Japan 7-1-1, Omika, Hitachi, Ibaraki , Japan k-iwashita@hitachi-chem.co.jp As the demand for advanced packaging is growing, the organic multi-chip package, a combination of organic interposer and organic substrate have attracted increasing attention in realizing further advancements of electronic devices with higher densities and more functions. The semi-additive process (SAP) method has been developed for Cu wiring and blind via in packaging substrate. To make the fine Cu wiring below 5 μm, the sputtering Ti/Cu has been studied. While opening a blind via below 50 μm in diameter, the laser method has problem. Photosensitive organic materials having great mechanical strength and heat resistance were mainly used as protection and insulation layers of very large scale integrated circuit, because they simplify the via formation processing and did not generate the residues during process. Specifically, we have newly developed the film type photosensitive insulation materials. Our photosensitive insulation films (PIFs) showed high resolution, high adhesion strength with Ti/Cu seed layer and suitability to SAP with the sputtering process. Keyword: photosensitive insulation film, sputtering, molecular dynamics 1. Introduction In recent years, novel electronic devices, like mobile phones, tablets, and personal computers, have become dramatically smaller and more functionalized. Based on these market trends, packaging structures for semiconductors are also required to become smaller, thinner and more complicated. With the progress of miniaturization in size and advancement in functionality, further scaling down of Cu wiring and blind via is needed not only in LSI chips but also in packaging substrates [1]. The organic multi-chip package, a combination of organic interposer and organic substrate, has attracted increasing attention in realizing further advancements of electronic devices with higher densities and more functions [2]. The semi-additive process (SAP) method has been developed for Cu wiring and blind via in packaging substrate. In general, an electroless Cu plating applies for the patterned Cu wires on the substrate. To make the fine Cu wiring below 5 μm, the sputtering Ti/Cu has been studied. A CO 2 laser has been used for via formation. To open a blind via below 50 μm in diameter, UV-YAG and Excimer laser have been studied [3]. However, these have difficulties to remove the residue on Cu and a risk of damage to Cu wiring, because of laser ablation. Photosensitive organic material, such as Polyimides (PI) and Polybenzoxazole (PBO), having great mechanical strength and heat resistance were mainly used as protection and Received April 1, 2015 Accepted May 11,

2 insulation layers of very large scale integrated circuit [4], because they simplify the via formation processing and did not generate the residues during process. Furthermore, phenolic resin based positive tone resist were reported as photosensitive insulation materials suitable for the low temperature processing below 200 o C of the packaging process [5, 6]. These have high via resolution (below 10 μm in diameter), but the thick film formation above 20 μm is difficult. To meet these demands, we developed the film type of photosensitive insulation materials. Our photosensitive insulation films (PIFs) showed good lithography performance and processability for SAP. These are phenolic resin based negative tone resist containing cross-linkers (CL), photo acid generator (PAG) and dissolution accelerator. In this paper, we investigated the dissolution accelerator for high resolution and the sputtered seed metals for high adhesion between photosensitive insulation layer and Cu plating. 2. Experimental 2.1. Methods of film preparation The PIFs were prepared by blending phenol resin (M w = 7,800 15,300), CL, and a PAG, followed by blade casting from methyl ethyl ketone on a Polyethylene terephthalate (PET) film. The last materials were baked at 90 o C for 10 min to give films whose thicknesses were adjusted to µm Dissolution Rate The PIFs were laminated on Si wafers at 100 o C. The laminated wafers were subjected to developing with a 2.38 wt% tetra-methyl ammonium hydroxide solution (TMAH) at 23 o C Pattern formation The laminated wafers were exposed by i-line stepper (Canon FPA-3000iw) from 200 to 800 mj/cm 2. The exposed wafers were heated on hot plate at 95 o C for 4 min and developed by TMAH at 23 o C Evaluation of adhesion to sputter metals The PIFs were laminated on organic substrate with Cu and exposed by i-line and heated on hot plate at 95 o C for 4 min, then cured at 180 C for 60 min. The seed metals, Cu or Ti/Cu, were sputtered on the cured substrates. After sputtering, a 20 µm thickness of Cu was electroplated on the seed metals. A 5 mm wide strip of the Cu plated layer was pulled vertically to measure the adhesion strength between the seed layers and the photosensitive resin SAP evaluation The cured PIF was formed on an organic substrate. Ti/Cu layer were deposited by sputtering, and the trench patterns of the resist film on the Ti/Cu layer were filled by Cu electroplating. Then, Cu wiring was formed by removing the resist film and the underlying Ti/Cu layers Method for Calculating Adhesion Strength with Molecular Dynamics Simulation The adhesion strength was simulated following the molecular dynamics study on the effect of lattice mismatch on adhesion strength [7]. The adhesion strength is determined by calculating the adhesive fracture energy V defined as the difference between the total potential energy of the material-connected state and that of the material-separated state. The material-connected state is obtained by equilibrating the system after connecting a resin film with several metals. This equilibration is carried out by using Newton s equation of motion, m i d 2 r i /dt 2 = - Uτ/ r i (1) where m i, r i, and Uτ are the atomic mass, atomic position of the i-th atom, and total potential energy, respectively. 3. Results and Discussion 3.1. Dissolution effect The high resolution materials are well-known to show high alkaline solubility contrast between exposed areas and unexposed areas. To obtain the high dissolution in unexposed area, we measured the dissolution effect of CLs with phenol resin. The Figure 1 showed the dissolution rate of the PIFs with various di- and tri-functional CLs. Normalized dissolution rate was based on the dissolution rate of the phenol resin. We also calculated the solubility parameter (SP) values (δ d ) of CLs [8], because chemical structures could be converted into numerical values. The result suggests low δ d of CLs enhanced the dissolution rate of PIFs. 94

3 Figure 2 shows the relationship between the number of functional group of CL and dissolution rate of PIFs. It is found that tri- functional CL effectively enhanced dissolution rate. Figure 3. L/S pattern profile of the PIF after development Figure 1. SP value of CLs versus dissolution rates of the PIFs. Figure 4. Via pattern profile of the PIF after development Figure 2. Number of functional group of CL versus dissolution rate of the PIFs (δ d = 16-17) Photolithography On the basis of the above survey, hopeful multi -functional CLs were subjected to the photolithographic study. The photosensitivity of the PIF with the 25 µm-thick film was 400 mj/cm 2 along with full film retention after TMAH development. As shown in Figures 3 and 4, L/S = 4/4 µm and 10 µm via could be resolved. These results suggest that multi-functional CLs contributed to high dissolution contrast by accelerating solubility in unexposed area and reducing swelling due to crosslinking in exposed area during alkali development. We therefore concluded that this high resolution is resulted from CL with the multi-functional structure adopted Adhesion strength Figure 5 shows the relationship of peel strength between the cured layer derived from PIFs and seed metals. The peel strengths showed higher value with Ti/Cu seed layer than that of Cu. Figure 5. Peel strength between the cured layer of PIF and seed metals 95

4 3.4.SAP processability We demonstrated to form Cu wiring below 5 μm on the cured layer derived from PIF by SAP flow. Figure 6 showed the result of SAP processability after removing the underlying Ti/Cu layers. The Cu wiring (L/S = 5/5μm) could be formed on the cured layer of PIF and did not strip off during SAP. It showed that the PIF had enough adhesion strength with Ti/Cu. From these results, we concluded that the PIF containing the multi-functional CL was suitable for SAP with the sputtering process. Figure 7. Structures used for adhesive fracture energy calculated from molecular dynamics Figure 6. Cu wiring (L/S = 5/5μm) on PIF by SAP flow 3.5. Calculation of Adhesion Strength We calculated the adhesive fracture energy V from molecular dynamics simulation. Figure 7 showed the model structures from the multi-functional CL used for adhesion analysis of a resin /metal interface. The adhesive fracture energy at 300 K obtained from molecular dynamics simulation using seed metals (Cu, Ni, Ti and Cu) is compared in Figure 8. The value showed that the adhesion energy increased in the order: V resin/cu < V resin/ni < V resin/ti < V resin/cr. The adhesion strength obtained from molecular dynamics simulation agrees well with that obtained from the peel strength evaluation (Figure 5). These results suggest that the chemical interaction between the model structures from the multi-functional CL and Ti is stronger than that of Cu. The chemical structure (atomic configuration) also affected the enhancement of the chemical interaction with seed metal. Investigations on adhesion strength of various photosensitive resin materials are under way. Figure 8. Adhesive fracture energy calculated from molecular dynamics Conclusion We have developed the film type of photosensitive insulation materials. Our photosensitive insulation films (PIFs) showed high resolution, high adhesion strength with Ti/Cu seed layer and suitability to SAP with the sputtering process. We believe our PIF will be the solution for materials. Our findings are: (1) Cross-linkers (CLs) having low SP and multi-functional groups enhance solubility in unexposed area (2) The PIF containing multi-functional CL shows high resolution. (3) The cured layer derived from PIF has high adhesion to Ti/Cu layer. (4) The adhesion strengths obtained from molecular dynamics simulation agrees well with those obtained from the peel strength evaluation. 96

5 References 1. T. Kanki, J. Ikeda, Y. Kobayashi, S.Suda, Y. Nakata and T. Nakamura, IEEE IITC2012, N. Shimizu, W. Kaneda, H. Arisaka, N. Koizumi S. Sunohara, A. Rokugawa and T. Koyama, IMPAS 2013, Oct.3, TP65, p R. Huemoeller, S. Rusli, A. Chiang, Y. Chen, D. Baron, L. Brandt and B. Roelfts. UNVEILING THE NEXT GENERATION IN SUBSTRATE TECHNOLOGY 4. R. Rubner, Adv Mater., 2 (1990) H. Mizuno, T. Sakurai, K. Okamoto and K.Inomata., J. Polym. Sci. Polym. Chem., 27 (2014) H.Matsutani, K. Mitsukura, T.Makino., IEEE Cpmt Symposium Japan, CFP14PWJ-PRT, T. Iwasaki, Journal of the Society of Materials Science, Japan., 58 (2009) J.H.Hildebrand and R.L.Scott, The SOLUBILITY of NONELECTEOLYTES 97

Development of Photosensitve Polyimide B-stage Sheet Having High Cu Migration Resistance

Development of Photosensitve Polyimide B-stage Sheet Having High Cu Migration Resistance Journal of Photopolymer Science and Technology Volume 30, umber 2 (2017) 181-185 C 2017SPST Development of Photosensitve Polyimide B-stage Sheet Having High Cu Migration Resistance Masao Tomikawa *, Kazuyuki

More information

Novel Materials and Activities for Next Generation Package. Hitachi Chemical., Co.Ltd. Packaging Solution Center Hiroaki Miyajima

Novel Materials and Activities for Next Generation Package. Hitachi Chemical., Co.Ltd. Packaging Solution Center Hiroaki Miyajima Novel Materials and Activities for Next Generation Package Hitachi Chemical., Co.Ltd. Packaging Solution Center Hiroaki Miyajima 1. Activities of Packaging Solution Center 2. Novel Materials for Next Gen.

More information

Developments of Photosensitive Polyimides and Photosensitive Polybenzoxazoles Mitsuru Ueda

Developments of Photosensitive Polyimides and Photosensitive Polybenzoxazoles Mitsuru Ueda Developments of Photosensitive Polyimides and Photosensitive Polybenzoxazoles Mitsuru Ueda Department of rganic & Polymeric Materials, Graduate School of Science and Engineering, Tokyo Institute of Technology

More information

Photolithography I ( Part 2 )

Photolithography I ( Part 2 ) 1 Photolithography I ( Part 2 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Frank Wei Disco Corporation Ota-ku, Tokyo, Japan

Frank Wei Disco Corporation Ota-ku, Tokyo, Japan Advances in panel scalable planarization and high throughput differential seed layer etching processes for multilayer RDL at 20 micron I/O pitch for 2.5D glass interposers Hao Lu, Fuhan Liu, Venky Sundaram,

More information

Atul Gupta, Eric Snyder, Christiane Gottschalk, Kevin Wenzel, James Gunn

Atul Gupta, Eric Snyder, Christiane Gottschalk, Kevin Wenzel, James Gunn First Demonstration of Photoresist Cleaning for Fine-Line RDL Yield Enhancement by an Innovative Ozone Treatment Process for Panel Fan-out and Interposers Atul Gupta, Eric Snyder, Christiane Gottschalk,

More information

OPTIMIZED SEMI-ADDITIVE PROCESS FOR POLYIMIDE AS DIELECTRIC IN BUILD UP PACKAGES

OPTIMIZED SEMI-ADDITIVE PROCESS FOR POLYIMIDE AS DIELECTRIC IN BUILD UP PACKAGES OPTIMIZED SEMI-ADDITIVE PROCESS FOR POLYIMIDE AS DIELECTRIC IN BUILD UP PACKAGES Fei Peng 1, Ernest Long 1, Jim Watkowski 1, Kesheng Feng 1, Naomi Ando 2, Kazuhiro Inazu 2 1 MacDermid, 227 Freight St,

More information

Via Formation Process for Smooth Copper Wiring on Insulation Layer with Adhesion Layer

Via Formation Process for Smooth Copper Wiring on Insulation Layer with Adhesion Layer Sasaki and Tani: Via Formation Process for Smooth Copper Wiring (1/6) [Technical Paper] Via Formation Process for Smooth Copper Wiring on Insulation Layer with Adhesion Layer Shinya Sasaki and Motoaki

More information

Analysis on Radical Photo- and Thermal-Polymerization of Negative-Tone Acrylic Resist for High Resolution

Analysis on Radical Photo- and Thermal-Polymerization of Negative-Tone Acrylic Resist for High Resolution Journal of Photopolymer Science and Technology Volume 28, Number 1 (2015) 49 54 2015SPST Analysis on Radical Photo- and Thermal-Polymerization of Negative-Tone Acrylic Resist for High Resolution Emiko

More information

/15/$ IEEE Electronic Components & Technology Conference

/15/$ IEEE Electronic Components & Technology Conference Demonstration of 2µm RDL Wiring Using Dry Film Photoresists and 5µm RDL Via by Projection Lithography for Low-cost 2.5D Panel-based Glass and Organic Interposers Ryuta Furuya*, Hao Lu**, Fuhan Liu**, Hai

More information

Embedded Trench Redistribution Layers (RDL) by Excimer Laser Ablation and Surface Planer Processes

Embedded Trench Redistribution Layers (RDL) by Excimer Laser Ablation and Surface Planer Processes Embedded Trench Redistribution Layers (RDL) by Excimer Laser Ablation and Surface Planer Processes Yuya Suzuki, Venky Sundaram, Rao Tummala Georgia Insitute of Technology 3D Systems Packaging Research

More information

ULTRA-SMALL VIA-TECHNOLOGY OF THINFILM POLYMERS USING ADVANCED SCANNING LASER ABLATION

ULTRA-SMALL VIA-TECHNOLOGY OF THINFILM POLYMERS USING ADVANCED SCANNING LASER ABLATION ULTRA-SMALL VIA-TECHNOLOGY OF THINFILM POLYMERS USING ADVANCED SCANNING LASER ABLATION Michael Töpper Fraunhofer Research Institution for Reliability and Microintegration IZM Germany Martin Wilke, Klaus-Dieter

More information

Fabrication and Layout

Fabrication and Layout ECEN454 Digital Integrated Circuit Design Fabrication and Layout ECEN 454 3.1 A Glimpse at MOS Device Polysilicon Aluminum ECEN 475 4.2 1 Material Classification Insulators Glass, diamond, silicon oxide

More information

FABRICATION AND RELIABILITY OF ULTRA-FINE RDL STRUCTURES IN ADVANCED PACKAGING BY EXCIMER LASER ABLATION

FABRICATION AND RELIABILITY OF ULTRA-FINE RDL STRUCTURES IN ADVANCED PACKAGING BY EXCIMER LASER ABLATION FABRICATION AND RELIABILITY OF ULTRA-FINE RDL STRUCTURES IN ADVANCED PACKAGING BY EXCIMER LASER ABLATION NCCAVS Joint Users Group Technical Symposium San Jose, June 7 th, 2017 Markus Arendt, SÜSS MicroTec

More information

2015 IEEE. REPRINTED, WITH PERMISSION, FROM Next Generation Metallization Technique for IC Package Application

2015 IEEE. REPRINTED, WITH PERMISSION, FROM Next Generation Metallization Technique for IC Package Application 2015 IEEE. REPRINTED, WITH PERMISSION, FROM Next Generation Metallization Technique for IC Package pplication Yoshiyuki Hakiri, Katsuhiro Yoshida, Shenghua Li, Makoto Kondoh, Shinjiro Hayashi The Dow Chemical

More information

Cost Effective 3D Glass Microfabrication for Advanced Packaging Applications

Cost Effective 3D Glass Microfabrication for Advanced Packaging Applications Cost Effective 3D Glass Microfabrication for Advanced Packaging Applications Authors: Jeb. H Flemming, Kevin Dunn, James Gouker, Carrie Schmidt, Roger Cook ABSTRACT Historically, while glasses have many

More information

Novel Spin on Planarization Technology by Photo Curing SOC (P-SOC)

Novel Spin on Planarization Technology by Photo Curing SOC (P-SOC) Journal of Photopolymer Science and Technology Volume 3, Number 3 (17) 373-378 C 17SPST Technical Paper Novel Spin on Planarization Technology by Photo Curing (P-) Takafumi Endo*, Rikimaru Sakamoto, Keisuke

More information

Surface Passivation Process Study with Polyimide for High Voltage IGBT

Surface Passivation Process Study with Polyimide for High Voltage IGBT 5th International Conference on Mechatronics, Materials, Chemistry and Computer Engineering (ICMMCCE 2017) Surface Passivation Process Study with Polyimide for High Voltage IGBT Guoqing Leng1,a), LI Li1,

More information

Demonstration of 20µm Pitch Micro-vias by Excimer Laser Ablation in Ultra-thin Dry-film Polymer Dielectrics for Multi-layer RDL on Glass Interposers

Demonstration of 20µm Pitch Micro-vias by Excimer Laser Ablation in Ultra-thin Dry-film Polymer Dielectrics for Multi-layer RDL on Glass Interposers Demonstration of 20µm Pitch Micro-vias by Excimer Laser Ablation in Ultra-thin Dry-film Polymer Dielectrics for Multi-layer RDL on Glass Interposers Yuya Suzuki, Jan Brune, Rolf Senczuk, Rainer Pätzel,

More information

CLAD MATERIAL ~ FINE CLAD is a solution for high density, low cost PWB.

CLAD MATERIAL ~ FINE CLAD is a solution for high density, low cost PWB. ~ CLAD MATERIAL ~ FINE CLAD is a solution for high density, low cost PWB. Principle of bonding technique Principle of bonding technique Step 1 Material A, B In vacuum Step 2 Surface activated treatment

More information

High-Temperature-Resistant Interconnections Formed by Using Nickel Micro-plating and Ni Nano-particles for Power Devices

High-Temperature-Resistant Interconnections Formed by Using Nickel Micro-plating and Ni Nano-particles for Power Devices Kato et al.: High-Temperature-Resistant Interconnections (1/6) [Technical Paper] High-Temperature-Resistant Interconnections Formed by Using Nickel Micro-plating and Ni Nano-particles for Power Devices

More information

Study on Cone-defects during the Pattern Fabrication Process with Silicon Nitride

Study on Cone-defects during the Pattern Fabrication Process with Silicon Nitride Journal of Photopolymer Science and Technology Volume 8, Number () 7 SPST Study on Cone-defects during the Pattern Fabrication Process with Silicon Nitride Takuya Hagiwara, Kentaro Saito, Hiraku Chakihara,

More information

Photoneece PW-1200 series

Photoneece PW-1200 series Positive Tone Photosensitive Polyimide Photoneece PW-1200 series TORAY Industries, Inc. Introduction of PW series PW1200 series is the improved grade for multi-layer and bump process use. PW-1200 series

More information

Measurement of Parameters for Simulation of Deep UV Lithography Using a FT-IR Baking System

Measurement of Parameters for Simulation of Deep UV Lithography Using a FT-IR Baking System Measurement of Parameters for Simulation of Deep UV Lithography Using a FT-IR Baking System Atsushi Sekiguchi, Chris A. Mack*, Mariko Isono, Toshiharu Matsuzawa Litho Tech Japan Corp., 2-6-6, Namiki, Kawaguchi,

More information

SUSS SOLUTIONS FOR LARGE FORMAT PATTERNING UV Scanning Lithography and Excimer Laser Ablation

SUSS SOLUTIONS FOR LARGE FORMAT PATTERNING UV Scanning Lithography and Excimer Laser Ablation SUSS SOLUTIONS FOR LARGE FORMAT PATTERNING UV Scanning Lithography and Excimer Laser Ablation Kevin Yang, Habib Hichri, Ralph Zoberbier SÜSS MicroTec Photonic Systems Inc. June 18, 2015 MARKET DRIVER Mobile

More information

Low Temperature Curable Positive Tone Photosensitive Polyimide Photoneece LT series. Toray Industries, Inc.

Low Temperature Curable Positive Tone Photosensitive Polyimide Photoneece LT series. Toray Industries, Inc. Low Temperature Curable Positive Tone Photosensitive Polyimide Photoneece LT series Toray Industries, Inc. 1 The features of LT series (1) Low temperature curable ( ~170 ) Less damage for weak semiconductor

More information

Chapter 4 Fabrication Process of Silicon Carrier and. Gold-Gold Thermocompression Bonding

Chapter 4 Fabrication Process of Silicon Carrier and. Gold-Gold Thermocompression Bonding Chapter 4 Fabrication Process of Silicon Carrier and Gold-Gold Thermocompression Bonding 4.1 Introduction As mentioned in chapter 2, the MEMs carrier is designed to integrate the micro-machined inductor

More information

Glass Carrier for Fan Out Panel Level Package

Glass Carrier for Fan Out Panel Level Package January 25, 2018 NEWS RELEASE Development of HRDP TM Material for Formation of Ultra-Fine Circuits with Glass Carrier for Fan Out Panel Level Package - Aiming for mass production in collaboration with

More information

MEPTEC Semiconductor Packaging Technology Symposium

MEPTEC Semiconductor Packaging Technology Symposium MEPTEC Semiconductor Packaging Technology Symposium Advanced Packaging s Interconnect Technology Process Shift and Direction October 23, 2014 Jay Hayes- Director of Business Development -Bumping and Flip

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2003) Fabrication Technology, Part I Agenda: Oxidation, layer deposition (last lecture) Lithography Pattern Transfer (etching) Impurity Doping Reading: Senturia,

More information

FEM Analysis on Warpage and Stress at the Micro Joint of Multiple Chip Stacking

FEM Analysis on Warpage and Stress at the Micro Joint of Multiple Chip Stacking Hisada et al.: FEM Analysis on Warpage and Stress at the Micro Joint (1/6) [Technical Paper] FEM Analysis on Warpage and Stress at the Micro Joint of Multiple Chip Stacking Takashi Hisada*, Yasuharu Yamada*,

More information

Cu electroplating in advanced packaging

Cu electroplating in advanced packaging Cu electroplating in advanced packaging March 12 2019 Richard Hollman PhD Principal Process Engineer Internal Use Only Advancements in package technology The role of electroplating Examples: 4 challenging

More information

EE 330 Lecture 8. IC Fabrication Technology Part II. - Masking - Photolithography - Deposition - Etching - Diffusion

EE 330 Lecture 8. IC Fabrication Technology Part II. - Masking - Photolithography - Deposition - Etching - Diffusion EE 330 Lecture 8 IC Fabrication Technology Part II?? - Masking - Photolithography - Deposition - Etching - Diffusion Review from Last Time Technology Files Provide Information About Process Process Flow

More information

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller Webpage: http://www.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604

More information

Fabrication Techniques for Thin-Film Silicon Layer Transfer

Fabrication Techniques for Thin-Film Silicon Layer Transfer Fabrication Techniques for Thin-Film Silicon Layer Transfer S. L. Holl a, C. A. Colinge b, S. Song b, R. Varasala b, K. Hobart c, F. Kub c a Department of Mechanical Engineering, b Department of Electrical

More information

Electroplating Engineers of Japan Develops Innovative Direct Patterning Plating Technology that Opens the Potential of New-Generation Electronics

Electroplating Engineers of Japan Develops Innovative Direct Patterning Plating Technology that Opens the Potential of New-Generation Electronics PRESS RELEASE May 31, 2017 Tanaka Precious Metals Tanaka Holdings Co., Ltd. Electroplating Engineers of Japan Develops Innovative Direct Patterning Plating Technology that Opens the Potential of New-Generation

More information

IMAPS th International Symposium on Microelectronics - Raleigh, NC USA - Oct. 9-12, 2017 Visit for more IMAPS papers

IMAPS th International Symposium on Microelectronics - Raleigh, NC USA - Oct. 9-12, 2017 Visit   for more IMAPS papers Demonstration of Embedded Cu Trench RDL using Panel Scale Lithography and Photosensitive Dry Film Polymer Dielectrics Venky Sundaram, Fuhan Liu, Chandra Nair, Rao Tummala, Atsushi Kubo*, Tomoyuki Ando*,

More information

Two Chips Vertical Direction Embedded Miniaturized Package

Two Chips Vertical Direction Embedded Miniaturized Package Two Chips Vertical Direction Embedded Miniaturized Package Shunsuke Sato, 1 Koji Munakata, 1 Masakazu Sato, 1 Atsushi Itabashi, 1 and Masatoshi Inaba 1 Continuous efforts have been made to achieve seemingly

More information

CYCLOTENE* 4000 Series Advanced Electronic Resins (Photo BCB)

CYCLOTENE* 4000 Series Advanced Electronic Resins (Photo BCB) Revised: February 2005 CYCLOTENE* 4000 Series Advanced Electronic Resins (Photo BCB) Processing Procedures for CYCLOTENE 4000 Series Photo BCB Resins DS2100 Puddle Develop Process 1. Introduction The CYCLOTENE

More information

Microbridge reduction in negative tone imaging at photoresist pointof-use

Microbridge reduction in negative tone imaging at photoresist pointof-use Microbridge reduction in negative tone imaging at photoresist pointof-use filtration Toru Umeda* a, Tsukasa Yamanaka b, Naoya Iguchi b, Shuichi Tsuzuki a a Nihon Pall Ltd., 46 Kasuminosato, Ami-machi,

More information

Basic&Laboratory& Materials&Science&and&Engineering& Micro&Electromechanical&Systems&& (MEMS)&

Basic&Laboratory& Materials&Science&and&Engineering& Micro&Electromechanical&Systems&& (MEMS)& Basic&Laboratory& Materials&Science&and&Engineering& Micro&Electromechanical&Systems&& (MEMS)& M105& As of: 27.10.2011 1 Introduction... 2 2 Materials used in MEMS fabrication... 2 3 MEMS fabrication processes...

More information

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther EECS 40 Spring 2003 Lecture 19 Microfabrication 4/1/03 Prof. ndy Neureuther How are Integrated Circuits made? Silicon wafers Oxide formation by growth or deposition Other films Pattern transfer by lithography

More information

FLEX PHOTOIMAGE MASK NPR-80/ID100 series

FLEX PHOTOIMAGE MASK NPR-80/ID100 series FLEX PHOTOIMAGE MASK NPR-80/ID100 series - Alkaline Developable Photoimagable Solder Mask for Flex PCBs - Product system Main resins NPR-80 CODE No. ID100 (Green color) NPR-80 CODE No. ID100YR (Orange

More information

High Throw Electroless Copper Enabling new Opportunities for IC Substrates and HDI Manufacturing

High Throw Electroless Copper Enabling new Opportunities for IC Substrates and HDI Manufacturing High Throw Electroless Copper Enabling new Opportunities for IC Substrates and HDI Manufacturing Tobias Sponholz, Lars-Eric Pribyl, Frank Brüning, Robin Taylor Atotech Deutschland GmbH Berlin, Germany

More information

Bonding Pad Fabrication for Printed Electronics Using Silver Nanoparticles

Bonding Pad Fabrication for Printed Electronics Using Silver Nanoparticles Nakatani et al.: Bonding Pad Fabrication for Printed Electronics (1/5) [Technical Paper] Bonding Pad Fabrication for Printed Electronics Using Silver Nanoparticles Makoto Nakatani, Haruyuki Nakajo, Hiroshi

More information

MCC. PMGI Resists NANO PMGI RESISTS OFFER RANGE OF PRODUCTS

MCC. PMGI Resists NANO PMGI RESISTS OFFER RANGE OF PRODUCTS MCC PMGI RESISTS OFFER Sub.25µm lift-off processing Film thicknesses from 5µm Choice of resin blends for optimal undercut control High thermal stability Superior adhesion to Si, NiFe, GaAs, InP

More information

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation. Figure 2.1 (p. 58) Basic fabrication steps in the silicon planar process: (a) oxide formation, (b) selective oxide removal, (c) deposition of dopant atoms on wafer, (d) diffusion of dopant atoms into exposed

More information

Electrical and Fluidic Microbumps and Interconnects for 3D-IC and Silicon Interposer

Electrical and Fluidic Microbumps and Interconnects for 3D-IC and Silicon Interposer Electrical and Fluidic Microbumps and Interconnects for 3D-IC and Silicon Interposer Li Zheng, Student Member, IEEE, and Muhannad S. Bakir, Senior Member, IEEE Georgia Institute of Technology Atlanta,

More information

Dr. Priyabrat Dash Office: BM-406, Mob: Webpage: MB: 205

Dr. Priyabrat Dash   Office: BM-406, Mob: Webpage:  MB: 205 Email: dashp@nitrkl.ac.in Office: BM-406, Mob: 8895121141 Webpage: http://homepage.usask.ca/~prd822/ MB: 205 Nonmanufacturing In continuation from last class... 2 Top-Down methods Mechanical-energy methods

More information

ASAHI KASEI EMD DFR SUNFORT TM

ASAHI KASEI EMD DFR SUNFORT TM Technical Data Printed Circuit Materials ASAHI KASEI EMD DFR SUNFORT TM AQ-209A (FULLY AQUEOUS PROCESSIBLE DRY FILM PHOTO RESIST) BASIC PROPERTIES AND PROCESS RECOMMENDATION ASAHI KASEI EMD CORPORATION

More information

Microfabrication of Integrated Circuits

Microfabrication of Integrated Circuits Microfabrication of Integrated Circuits OUTLINE History Basic Processes Implant; Oxidation; Photolithography; Masks Layout and Process Flow Device Cross Section Evolution Lecture 38, 12/05/05 Reading This

More information

Complexity of IC Metallization. Early 21 st Century IC Technology

Complexity of IC Metallization. Early 21 st Century IC Technology EECS 42 Introduction to Digital Electronics Lecture # 25 Microfabrication Handout of This Lecture. Today: how are Integrated Circuits made? Silicon wafers Oxide formation by growth or deposition Other

More information

By Ron Blankenhorn, Pac Tech USA, Santa Clara, Calif., and Thomas Oppert, Pac Tech GbmH, Nauen, Germany

By Ron Blankenhorn, Pac Tech USA, Santa Clara, Calif., and Thomas Oppert, Pac Tech GbmH, Nauen, Germany INTRODUCTION Modern microelectronic products require packages that address the driving forces of reduced size and weight, as well as increased performance at high frequencies. Flipchip and direct chip

More information

Future Electronic Devices Technology in Cosmic Space and Electroless Ni/Pd/Au Plating for High Density Semiconductor Package Substrate

Future Electronic Devices Technology in Cosmic Space and Electroless Ni/Pd/Au Plating for High Density Semiconductor Package Substrate JAXA 25 rd Microelectronics Workshop Future Electronic Devices Technology in Cosmic Space and Electroless Ni/Pd/Au Plating for High Density Semiconductor Package Substrate November 2, 2012 Yoshinori Ejiri

More information

Introduction to Nanoscience and Nanotechnology

Introduction to Nanoscience and Nanotechnology Introduction to Nanoscience and Nanotechnology ENS 463 2. Principles of Nano-Lithography by Alexander M. Zaitsev alexander.zaitsev@csi.cuny.edu Tel: 718 982 2812 Office 4N101b 1 Lithographic patterning

More information

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam PHYS 534 (Fall 2008) Process Integration Srikar Vengallatore, McGill University 1 OUTLINE Examples of PROCESS FLOW SEQUENCES >Semiconductor diode >Surface-Micromachined Beam Critical Issues in Process

More information

Avatrel Stress Buffer Coatings: Low Stress Passivation and Redistribution Applications

Avatrel Stress Buffer Coatings: Low Stress Passivation and Redistribution Applications Avatrel Stress Buffer Coatings: Low Stress Passivation and Redistribution Applications Ed Elce, Chris Apanius, Jeff Krotine, Jim Sperk, Andrew Bell, Rob Shick* Sue Bidstrup-Allen, Paul Kohl Takashi Hirano,

More information

Lecture 1A: Manufacturing& Layout

Lecture 1A: Manufacturing& Layout Introduction to CMOS VLSI Design Lecture 1A: Manufacturing& Layout David Harris Harvey Mudd College Spring 2004 Steven Levitan Fall 2008 1 The Manufacturing Process For a great tour through the IC manufacturing

More information

Czochralski Crystal Growth

Czochralski Crystal Growth Czochralski Crystal Growth Crystal Pulling Crystal Ingots Shaping and Polishing 300 mm wafer 1 2 Advantage of larger diameter wafers Wafer area larger Chip area larger 3 4 Large-Diameter Wafer Handling

More information

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Metallization deposition and etching. Material mainly taken from Campbell, UCCS Metallization deposition and etching Material mainly taken from Campbell, UCCS Application Metallization is back-end processing Metals used are aluminum and copper Mainly involves deposition and etching,

More information

Ag Sinter Joining for WBG Interconnects

Ag Sinter Joining for WBG Interconnects 2016 3D-PEIM, June 14, 2016 Ag Sinter Joining for WBG Interconnects Katsuaki Suganuma, Shoji Nagao, Toru Sugahara, Hao Zhang, and Jinting Jiu ISIR, Osaka University, Osaka, Japan SiC Cu Si 3 N 4 Ag SMB

More information

Ultra Fine Pitch Bumping Using e-ni/au and Sn Lift-Off Processes

Ultra Fine Pitch Bumping Using e-ni/au and Sn Lift-Off Processes Ultra Fine Pitch Bumping Using e-ni/au and Sn Lift-Off Processes Andrew Strandjord, Thorsten Teutsch, and Jing Li Pac Tech USA Packaging Technologies, Inc. Santa Clara, CA USA 95050 Thomas Oppert, and

More information

EE 5344 Introduction to MEMS. CHAPTER 3 Conventional Si Processing

EE 5344 Introduction to MEMS. CHAPTER 3 Conventional Si Processing 3. Conventional licon Processing Micromachining, Microfabrication. EE 5344 Introduction to MEMS CHAPTER 3 Conventional Processing Why silicon? Abundant, cheap, easy to process. licon planar Integrated

More information

ME 189 Microsystems Design and Manufacture. Chapter 9. Micromanufacturing

ME 189 Microsystems Design and Manufacture. Chapter 9. Micromanufacturing ME 189 Microsystems Design and Manufacture Chapter 9 Micromanufacturing This chapter will offer an overview of the application of the various fabrication techniques described in Chapter 8 in the manufacturing

More information

TGV and Integrated Electronics

TGV and Integrated Electronics TGV and Integrated Electronics Shin Takahashi ASAHI GLASS CO., LTD. 1 Ambient Intelligence Green Energy/Environment Smart Factory Smart Mobility Smart Mobile Devices Bio/Medical Security/Biometrics 2 Glass

More information

Metallization. Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance

Metallization. Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance Metallization Interconnects Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance RC time delay Inter-Metal Dielectric -Prefer low dielectric constant to reduce capacitance

More information

Investigation of ProTEX PSB Thin Film as Photosensitive Layer for MEMS capacitive pressure sensor diaphragm based Si/SiC Wafer

Investigation of ProTEX PSB Thin Film as Photosensitive Layer for MEMS capacitive pressure sensor diaphragm based Si/SiC Wafer Investigation of ProTEX PSB Thin Film as Photosensitive Layer for MEMS capacitive pressure sensor diaphragm based Si/SiC Wafer Author Marsi, Noraini, Majlis, Burhanuddin Yeop, Hamzah, Azrul Azlan, Mohd-Yasin,

More information

Solid State Sensors. Microfabrication 8/22/08 and 8/25/08

Solid State Sensors. Microfabrication 8/22/08 and 8/25/08 Solid State Sensors Microfabrication 8/22/08 and 8/25/08 Purpose of This Material To introduce the student to microfabrication techniques as used to fabricate MEMS Sensors Understand concepts not specifics

More information

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS Contents: VI Sem ECE 06EC63: Analog and Mixed Mode VLSI Design PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS 1. Introduction 2. CMOS Fabrication 3. Simplified View of Fabrication Process 3.1 Alternative

More information

Residual Stress Distribution and Adhesive Interface Strength Analysis of Thermosetting Resin Molding

Residual Stress Distribution and Adhesive Interface Strength Analysis of Thermosetting Resin Molding Residual Stress Distribution and Adhesive Interface Strength Analysis of Thermosetting Resin Molding GANBE, Tatsuya ASAI, Tatsuhiko OKAMOTO, Kenji ABSTRACT The number of products sealed with a thermosetting

More information

Multi-Chip Module Fabricated by W-CSP Method using Excimer Laser Via-Hole Formation and Cu Plating

Multi-Chip Module Fabricated by W-CSP Method using Excimer Laser Via-Hole Formation and Cu Plating Suzuki et al.: Multi-Chip Module Fabricated by W-CSP Method (1/8) Multi-Chip Module Fabricated by W-CSP Method using Excimer Laser Via-Hole Formation and Cu Plating Takashi Suzuki*, Toshio Tamura*, Atsushi

More information

Deep Lithography for Microfabrication

Deep Lithography for Microfabrication Deep Lithography for Microfabrication Part 2: UV Deep Lithography (UVDL) Luiz O. S. Ferreira Mechanical Engineering Faculty Campinas State University UNICAMP Campinas SP - BRAZIL lotavio@fem.unicamp.br

More information

Photo-Patternable and Adhesive Polymer for Wafer-Scale Microfluidic Device Fabrication

Photo-Patternable and Adhesive Polymer for Wafer-Scale Microfluidic Device Fabrication Photo-Patternable and Adhesive Polymer for Wafer-Scale Microfluidic Device Fabrication Sara Peeters Bivragh Majeed Josine Loo Katsuhiko Hieda Tom Miyazaki Chengxun Liu John O Callaghan Karolien Jans Liesbet

More information

TECHNICAL DATA SHEET DESCRIPTION PHYSICAL CHARACTERISTICS PRODUCT CHARACTERISTICS ETERTEC PR8200Y1 PHOTO-IMAGEABLE COVERLAY

TECHNICAL DATA SHEET DESCRIPTION PHYSICAL CHARACTERISTICS PRODUCT CHARACTERISTICS ETERTEC PR8200Y1 PHOTO-IMAGEABLE COVERLAY TECHNICAL DATA SHEET ETERTEC PR8200Y1 PHOTO-IMAGEABLE COVERLAY DESCRIPTION ETERTEC PR8200Y1 is an alkaline processable dry film photopolymer permanent photoresist utilising epoxy chemistry materials well

More information

Thin Wafers Bonding & Processing

Thin Wafers Bonding & Processing Thin Wafers Bonding & Processing A market perspective 2012 Why New Handling Technologies Consumer electronics is today a big driver for smaller, higher performing & lower cost device configurations. These

More information

Material based challenge and study of 2.1, 2.5 and 3D integration

Material based challenge and study of 2.1, 2.5 and 3D integration 1 Material based challenge and study of 2.1, 2.5 and 3D integration Toshihisa Nonaka Packaging Solution Center R&D Headquarters Hitachi Chemical Co., Ltd., Sep. 8, 2016 Hitachi Chemical Co., Ltd. 2010.

More information

Copper Interconnect Technology

Copper Interconnect Technology Tapan Gupta Copper Interconnect Technology i Springer Contents 1 Introduction 1 1.1 Trends and Challenges 2 1.2 Physical Limits and Search for New Materials 5 1.3 Challenges 6 1.4 Choice of Materials 7

More information

Relationship between the Conductivity of Isotropic Conductive Adhesives (ICAs) and the Lubricant Coated on Silver Filler Particles

Relationship between the Conductivity of Isotropic Conductive Adhesives (ICAs) and the Lubricant Coated on Silver Filler Particles [Technical Paper] Relationship between the Conductivity of Isotropic Conductive Adhesives (ICAs) and the Lubricant Coated on Silver Filler Particles Shigeru Kohinata*, Akari Terao*, Yosihiko Shiraki*,

More information

Making of a Chip Illustrations

Making of a Chip Illustrations Making of a Chip Illustrations 22nm 3D/Trigate Transistors Version April 2015 1 The illustrations on the following foils are low resolution images that visually support the explanations of the individual

More information

Lecture #18 Fabrication OUTLINE

Lecture #18 Fabrication OUTLINE Transistors on a Chip Lecture #18 Fabrication OUTLINE IC Fabrication Technology Introduction the task at hand Doping Oxidation Thin-film deposition Lithography Etch Lithography trends Plasma processing

More information

Lecture 4 Lithography II

Lecture 4 Lithography II F. G. Tseng Lec4, Fall/2016, p1 Lecture 4 Lithography II!! Resist types 1.!Optical negative resist a.!polymer get cross link after exposure b.!developer is usually solvent (xylene ( ), toluene ( ), halogenated

More information

Flexible PCB Plating Through Hole Considerations, Experiences and Solutions

Flexible PCB Plating Through Hole Considerations, Experiences and Solutions Presented in the ECWC 10 Conference at IPC Printed Circuits Expo, SMEMA Council APEX and Designers Summit 05 Flexible PCB Plating Through Hole Considerations, Experiences and Solutions Neil Patton Atotech

More information

Fraunhofer ENAS Current results and future approaches in Wafer-level-packaging FRANK ROSCHER

Fraunhofer ENAS Current results and future approaches in Wafer-level-packaging FRANK ROSCHER Fraunhofer ENAS - Current results and future approaches in Wafer-level-packaging FRANK ROSCHER Fraunhofer ENAS Chemnitz System Packaging Page 1 System Packaging Outline: Wafer level packaging for MEMS

More information

Development of Anisotropic Conductive Film for Narrow Pitch Circuits

Development of Anisotropic Conductive Film for Narrow Pitch Circuits ELECTRONICS Development of Anisotropic Conductive Film for Narrow Pitch Circuits Hideaki TOSHIOKA*, Kyoichiro NAKATSUGI, Masamichi YAMAMOTO, Katsuhiro SATO, Naoki SHIMBARA and Yasuhiro OKUDA Anisotropic

More information

II. A. Basic Concept of Package.

II. A. Basic Concept of Package. Wafer Level Package for Image Sensor Module Won Kyu Jeung, Chang Hyun Lim, Jingli Yuan, Seung Wook Park Samsung Electro-Mechanics Co., LTD 314, Maetan3-Dong, Yeongtong-Gu, Suwon, Gyunggi-Do, Korea 440-743

More information

Figure 1 Embedded Active and Passive Module (EMAP) Cross-section Schematic

Figure 1 Embedded Active and Passive Module (EMAP) Cross-section Schematic Super High Density Two Metal Layer Ultra-Thin Organic Substrates for Next Generation System-On-Package (SOP), SIP and Ultra-Fine Pitch Flip-Chip Packages Venky Sundaram, Hunter Chan, Fuhan Liu, and Rao

More information

VLSI Design and Simulation

VLSI Design and Simulation VLSI Design and Simulation CMOS Processing Technology Topics CMOS Processing Technology Semiconductor Processing How do we make a transistor? Fabrication Process Wafer Processing Silicon single crystal

More information

Development of High-Reflective W/Si-multilayer Diffraction Grating for the Analysis of Fluorine Materials

Development of High-Reflective W/Si-multilayer Diffraction Grating for the Analysis of Fluorine Materials Journal of Photopolymer Science and Technology Volume 28, Number 4 (2015) 531 536 2015SPST Development of High-Reflective W/Si-multilayer Diffraction Grating for the Analysis of Fluorine Materials Masaki

More information

Mostafa Soliman, Ph.D. May 5 th 2014

Mostafa Soliman, Ph.D. May 5 th 2014 Mostafa Soliman, Ph.D. May 5 th 2014 Mostafa Soliman, Ph.D. 1 Basic MEMS Processes Front-End Processes Back-End Processes 2 Mostafa Soliman, Ph.D. Wafers Deposition Lithography Etch Chips 1- Si Substrate

More information

Flexible Substrates for Smart Sensor Applications

Flexible Substrates for Smart Sensor Applications Flexible Substrates for Smart Sensor Applications A novel approach that delivers miniaturized, hermetic, biostable and highly reliable smart sensor modules. AUTHORS Dr. Eckardt Bihler, Dr. Marc Hauer,

More information

Microelectronic Device Instructional Laboratory. Table of Contents

Microelectronic Device Instructional Laboratory. Table of Contents Introduction Process Overview Microelectronic Device Instructional Laboratory Introduction Description Flowchart MOSFET Development Process Description Process Steps Cleaning Solvent Cleaning Photo Lithography

More information

MEMS Fabrication. Beyond Integrated Circuits. MEMS Basic Concepts

MEMS Fabrication. Beyond Integrated Circuits. MEMS Basic Concepts MEMS Fabrication Beyond Integrated Circuits MEMS Basic Concepts Uses integrated circuit fabrication techniques to make mechanical as well as electrical components on a single chip. Small size 1µm 1mm Typically

More information

Yasunori Chonan 1,2,, Takao Komiyama 1, Jin Onuki 1, Ryoichi Urao 2, Takashi Kimura 3 and Takahiro Nagano Introduction

Yasunori Chonan 1,2,, Takao Komiyama 1, Jin Onuki 1, Ryoichi Urao 2, Takashi Kimura 3 and Takahiro Nagano Introduction Materials Transactions, Vol. 43, No. 8 (2002) pp. 1840 to 1846 Special Issue on Lead-Free Electronics Packaging c 2002 The Japan Institute of Metals Influence of Phosphorus Concentration in Electroless

More information

TEL: FAX: PSR-4000 HT1/ CA-40 HT1

TEL: FAX: PSR-4000 HT1/ CA-40 HT1 Oct., 2017 TAIYO INK MFG. CO., LTD. PCB Materials Sales Dept.: 900 Oazahirasawa,Ranzan-machi,Hiki-gun,Saitama,355-0215 Japan TEL:81-493-61-2742 FAX:81-493-61-2824 Technical Development Dept.: 900 Oazahirasawa,Ranzan-machi,Hiki-gun,Saitama,355-0215

More information

Cu Wiring Process for TFTs - Improved Hydrogen Plasma Resistance with a New Cu Alloy -

Cu Wiring Process for TFTs - Improved Hydrogen Plasma Resistance with a New Cu Alloy - Cu Wiring Process for TFTs - Improved Hydrogen Plasma Resistance with a New Cu Alloy - Masanori Shirai*, Satoru Takazawa*, Satoru Ishibashi*, Tadashi Masuda* As flat-screen TVs become larger and their

More information

Y.C. Chan *, D.Y. Luk

Y.C. Chan *, D.Y. Luk Microelectronics Reliability 42 (2002) 1195 1204 www.elsevier.com/locate/microrel Effects of bonding parameters on the reliability performance of anisotropic conductive adhesive interconnects for flip-chip-on-flex

More information

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width Microelectronics Introduction to the IC technology M.Rencz 11 September, 2002 9/16/02 1/37 Integrated circuits Development is controlled by the roadmaps. Self-fulfilling predictions for the tendencies

More information

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing ELEC 3908, Physical Electronics, Lecture 4 Basic Integrated Circuit Processing Lecture Outline Details of the physical structure of devices will be very important in developing models for electrical behavior

More information

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process Chapter 3 A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process 3.1 Introduction Low-temperature poly-si (LTPS) TFTs

More information