Precision Without Compromise

Size: px
Start display at page:

Download "Precision Without Compromise"

Transcription

1 D1 EVOLUTION Precision Without Compromise Versatile and user-friendly high resolution and multipurpose X-ray diffractometer for the characterization of advanced materials

2 D1 Overview Introduction Jordan Valley s D1 Evolution is the latest generation of flexible X-ray diffraction instruments for thin-film materials research, process development, and quality control. Featuring fully automated source and detector optics, the system can switch between standard and high resolution X-ray diffraction, and X-ray reflectivity modes automatically depending on the requested. This ensures the optimum tool configuration is used every time, without requiring experts to set-up the tool for use. The mode is also flexible. Measurements can be run partially or fully automated, with user-customizable scripts handling the routine work. It is also possible to run the tool in a completely manual mode, to enable the development of new methods or to investigate new materials. Analysis of the data can be fully automated as part of the routine, or analyzed off-line if required. Using RADS and REFS in automated mode, developed for the Si fabrication lines, allows routine analysis to be performed and reported without any user intervention. RADS and REFS can also be installed offline to allow more detailed analysis. This allows the degree of automation to be customized to all customers to suit their exact needs, and the system to be operated by novices and experts alike. Features & Benefits Accurate and precise s due to the highest resolution goniometer commercially available (0.16 ) Fast s due to high intensity source and optics Automated alignment, and analysis of samples Eulerian cradle with high precision sample positioning and scanning Up to 200mm wafers (150mm x 150mm scanning) 135 Chi (tilt) and unlimited Phi (azimuthal rotation) to enable pole figures Sub-micron resolution on all translation axes and highest resolution on rotation axes Intelligent automatic tool alignment and re-configuration based on the requested Industry-leading equipment control and analytical software Wide range of techniques and parameters available Built by world experts in High Resolution X-ray diffraction, with over 30 years of experience, and a large global install base Custom configurations available on request

3 D1 Overview Intelligent Alignment System Jordan Valley s D1 Evolution system features an Intelligent Alignment System and Intelligent Measurement System. These systems align the tool in the required configuration before performing the, and then the whole and analysis process in completely automated. No user knowledge or intervention is required. This is ideal for any environment where many users, or users with little experience of X-ray equipment, utilize the tool. The automated s use recipes to define what is required. This can include the type, alignment method, the parameters and the analysis file. Once the recipe is started, the software checks the current configuration, both on the source and detector, and the correct X-ray optics are moved into place and optimally aligned. The beam conditioning crystals are mounted on motorized adjustments to allow the insertion and removal into the beam. This allows the system to reconfigure from 180 (0.05 ) beam divergence, to a 5 beam divergence without user intervention. The crystals are automatically aligned during this process, as small mis-alignments of the crystals can cause a large decrease in intensity. The system is also aligned on the center of rotation of the goniometer during this process to ensure repeatable and accurate data. Slit or pinhole mounting Beam conditioning crystals X-ray Tube Multilayer Mirror EDR detector head Vertical slits or precision slits Monochromator Triple Axis Analyzer (graphite or LiF) crystal. Soller slits Note: Analyzer / Soller slit table also has tilt The detector optic components are mounted on motorized adjustments to allow the insertion and removal into the beam, along with the optimization of the alignment for each component. The detector slit size can also be motorized to allow full automation of the alignment and of samples. The monochromator is a manual placement, but is typically not required if a multilayer mirror is used. To complete the automation, the 150XY Eulerian cradle allows high precision on all axes, with wide ranges for a high variety of s. The high precision ensures accurate alignment of the sample for all axes, to ensure the automated alignment and routines repeatably and accurately measure the samples to the same high standard every time. The cradle can also be fitted with a environment stage to allow s at non-ambient conditions.

4 Applications HRXRD and Relaxation Materials: Single crystal substrate (e.g. Si, GaAs, InP, GaN) and epilayers, including multi-layer structures Parameters: layer thickness, composition and relaxation, strain, area uniformity, mismatch, dopant level, miscut, layer tilt. Sample Mirror 2 Conditioning crystals X-ray source Detector Direct of relaxation / strain / composition of layers within a multilayer structure Automated sample alignment, and analysis Analysis performed by the JV- HRXRD (formerly Bede RADS) software. All common reflections possible for compound semiconductor substrates Variety of beam resolutions available (5 - >25 ), to automatically optimize best resolution for sample being measured Omega-2Theta scan of SiGe epi-layer.* * Sample courtesy Hitachi-Kokusai Electric

5 Applications Triple Axis & Reciprocal Space Maps Materials: Single crystal substrate (e.g. Si, GaAs, InP, GaN) and epilayers, including multi-layer structures Parameters: layer thickness, composition and relaxation, strain, area uniformity, mismatch, dopant level, miscut, layer tilt. Sample Mirror 2 Conditioning crystals X-ray source Analyzer crystal Detector Direct of relaxation / strain / composition of layers within a multilayer structure Automated sample alignment, and analysis Reciprocal space maps created using Contour software Triple axis diffraction scans can be simulated using JV-HRXRD (formerly Bede RADS) analysis software Dual channel 2 bounce and 4 bounce triple axis analyzer crystal with automated insertion and alignment when required for the Reciprocal space map of GaN multi-quantum well, showing clear satellite peaks. These highlight the well controlled growth of the multi-layer structure

6 Applications XRR of thin films Materials: Thin films or multilayer stacks with roughness < 3nm Parameters: Layer thickness, roughness, density Automated system alignment without user intervention for wide range of system resolutions multilayer mirror for thinner samples multilayer mirror with conditioning crystal for higher resolution (thicker samples Dual channel 2 bounce and 4 bounce triple axis analyzer crystal for ultrahigh resolution (very thick films) Automated sample alignment, and analysis using REFS software Motorized slits X-ray reflectivity scan (black) and automated simulation (red) of a 5nm Pt layer grown on 170nm of SiO2. Specular (blue) and diffuse) green of 531.1nm porous film. Note the) resolution of the very high frequency fringes, and the fitting (red) to the data (blue) in the inset image.

7 Applications Overview of XRD Materials: Measure nearly all possible polycrystalline and nano bulk materials and thin films. For ultra-thin layers and nano-layers, Grazing Incidence diffraction can be employed. Parameters: Phase, texture, grain / particle size, unit cell, amorphous %, residual stress X-ray diffraction has been utilised for nearly 100 years to characterize the structural properties of materials. The main focus of the Jordan Valley systems is in the characterization of the microstructure of thin films. The D1 system is the ideal choice for all of these s. With the highest resolution goniometer commercially available on the market, wide Chi (tilt) and Phi (azimuthal rotation) ranges for residual stress and texture s, and the automated configuration of the system along with fully automated s, the D1 is perfect for all of your thin film XRD needs. The fundamental principle is that of Bragg's law, where the position of the peak is related to the lattice spacing. However, using this simple principle gives the possibility to determine a number of key characteristics of the film, including Crystallinity from peak intensities Phase from peak positions/ intensities Grain size/strain from peak widths Texture from peak intensities as the sample is tilted and rotated Residual stress from peak positions at different tilt values The following pages illustrate the configurations and some of the applications possible on the Jordan Valley D1 Evolution system. These are not an exhaustive list, so please contact one of our experts to define your exact requirements and to determine the best D1 configuration for you.

8 Intensity Applications XRD of Polycrystalline Films Materials: Measure nearly all possible polycrystalline and nano bulk materials and thin films. For ultra-thin layers and nano-layers, Grazing Incidence diffraction can be employed. Parameters: phase, texture, grain / particle size, unit cell, amorphous % Scanning Axes: Linked 2Theta-Omega, 2Theta only (GI-XRD) Different detector optics for different applications Intelligent Alignment System allows automated switching an optimisation between each configuration System optimisation with multilayer mirror Automated sample alignment and Wide Omega and 2Theta ranges for accurate phase s Soller slits for precise angular Ta (002) Ta (211) Ta peaks (330), (202), (212), (411) Ta (631) Ta (200) Ta (431) Ta (331) Ta (312) Theta (deg) XRD 2Theta scans on 2 different textured metal films. Growth conditioned were varied and the films show differing amounts of α and phases. The phase is critical to control the resitivity of the

9 Applications Pole Figures of Polycrystalline Films Materials: Measure nearly all possible polycrystalline and nano bulk materials and thin films. For ultra-thin layers and nano-layers, Grazing Incidence diffraction can be employed. Parameters: Texture Scanning Axes: Chi & Phi Sample Mirror 2 X-ray source Soller Detector Different detector optics for different applications Intelligent Alignment System allows automated switching an optimisation between each configuration System optimisation with multilayer mirror Automated sample alignment and 135 Chi range and full Phi range for wide pole figures and residual stress Pole figure of Cu film with mixed (111) and (110) texture.

10 Intensity (cps) Applications Residual Stress of Films Materials: Measure nearly all possible polycrystalline and nano bulk materials and thin films. For ultra-thin layers and nano-layers, Grazing Incidence diffraction can be employed. Parameters: Texture Scanning Axes: 2Theta & Chi Sample Mirror 2 Soller X-ray source Detector Different detector optics for different applications Intelligent Alignment System allows automated switching an optimisation between each configuration System optimisation with multilayer mirror Automated sample alignment and 135 Chi range and wide 2Theta range for residual stress s Soller slits for precise angular Analysis software for residual stress available on request. 4*101 2* *100 6*100 4*100 2*100 EG6ZHEA_No_02aa003.X01 EG6ZHEA_No_02aa017.X01* = 0 = THETA-THETA (deg) Peaks from W(220) for different tilt values. The shift in position as a function of tilt indicates the residual stress. This can be calculated using Jordan Valley Stress Analysis software.

11 Applications Topography of Single Crystals Materials: Single crystal substrate Parameters: Images strain fields caused by defects such as dislocations, slip lines, micro-pipes, dopant striations and sub-grains. Digital reflection topography no film needed! Images strain fields within ~10 microns of the sample surface. Automated sample alignment and Scan whole wafers, small pieces, or zoom in on defective areas on a sample with adjustable camera resolution All D1 Evolution systems can be upgraded in the future Example topographs from SiC substrates

12 Specifications Item X-ray Tube / Generator Specification 2.2kW Cu LFF Software RADS The original and still the best dynamical HRXRD simulation and fitting software in the industry. Multilayer Mirror Option Yes <10, Kα1 (Ge CCC) X-ray beam resolutions 5-25 (2 x Si CCC) REFS X-ray reflectivity simulation and fitting software, using a powerful genetic algorithm. Switch between configurations Omega Range resolution 2Theta Range resolution Eulerian Cradle X/Y Range Resolution Eulerian Cradle Z Range Resolution Eulerian Cradle Phi Range Resolution Eulerian Cradle Chi Range Resolution Sample sizes Fully automatic ~-10 to >180, ~0.16 ~-70 to >140, ~ mm on both mm 10mm mm Unlimited to Small pieces to 200mm PeakSplit General HRXRD calculation software Contour Mapping software, for area maps, reciprocal space maps and texture maps (including ODF). Also includes Residual Stress Analysis PolyCrystal Search/match software with PDF2 capability Control and Acquisition Control and acquisition software to control the instrument. Standard s can be easily defined, and custom routines implemented for all applications and materials. Hot stage available Yes, call for details Detector Dynamic Range >2 x 10 7 Footprint ~1.4m x 1.4m Jordan Valley Specifications and offers are subject to change without notice or obligations. All sales are subjected to our terms and conditions, a copy of which is available on request. Jordan Valley is a registered trade mark of Jordan Valley Semiconductors Ltd Global HQ Industrial Zone #6 POB 103, Ramat Gavriel Migdal Ha Emek Israel T: F: E: ask@jordanvalley.com UK Office Belmont Business Park Belmont Durham. DH1 1TW UK T: F: E: enquiries@jvsemi.co.uk

What if your diffractometer aligned itself?

What if your diffractometer aligned itself? Ultima IV Perhaps the greatest challenge facing X-ray diffractometer users today is how to minimize time and effort spent on reconfiguring of the system for different applications. Wade Adams, Ph.D., Director,

More information

X-RAY DIFFRACTION IN SEMICONDUCTOR INDUSTRY AND RESEARCH

X-RAY DIFFRACTION IN SEMICONDUCTOR INDUSTRY AND RESEARCH X-RAY DIFFRACTION IN SEMICONDUCTOR INDUSTRY AND RESEARCH M. Leszczyński High Pressure Research Center UNIPRESS, Sokolowska 29/37, 01 142 Warsaw, Poland, e-mail: mike@unipress.waw.pl ABSTRACT The paper

More information

Fundamentals of X-ray diffraction and scattering

Fundamentals of X-ray diffraction and scattering Fundamentals of X-ray diffraction and scattering Don Savage dsavage@wisc.edu 1231 Engineering Research Building (608) 263-0831 X-ray diffraction and X-ray scattering Involves the elastic scattering of

More information

Bruker AXS D8 FABLINE. X-Ray Metrology Solutions. think forward

Bruker AXS D8 FABLINE. X-Ray Metrology Solutions. think forward Bruker AXS D8 FABLINE X-Ray Metrology Solutions think forward XRD & µxrf D8 FABLINE Metrology for Semiconductor Manufacturing The functional units of semiconductor and compound semiconductor devices shrink

More information

Thin Film Scattering: Epitaxial Layers

Thin Film Scattering: Epitaxial Layers Thin Film Scattering: Epitaxial Layers 6th Annual SSRL Workshop on Synchrotron X-ray Scattering Techniques in Materials and Environmental Sciences: Theory and Application May 29-31, 2012 Thin films. Epitaxial

More information

LECTURE 7. Dr. Teresa D. Golden University of North Texas Department of Chemistry

LECTURE 7. Dr. Teresa D. Golden University of North Texas Department of Chemistry LECTURE 7 Dr. Teresa D. Golden University of North Texas Department of Chemistry Diffraction Methods Powder Method For powders, the crystal is reduced to a very fine powder or microscopic grains. The sample,

More information

ATTACHMENTES FOR EXPLORER DIFFRACTOMETER. Monochromators

ATTACHMENTES FOR EXPLORER DIFFRACTOMETER. Monochromators Monochromators Secondary flat and curved graphite monochromators suitable for Ag, Cr, Fe, Cu, Co and Mo radiations This attachment is installed in the X-ray detection unit. It is designed to remove continuous

More information

Thermo Scientific ARL EQUINOX X-ray Diffractometers

Thermo Scientific ARL EQUINOX X-ray Diffractometers Thermo Scientific ARL EQUINOX 1000 X-ray Diffractometers High performance in a compact size Thermo Scientific ARL EQUINOX 1000 X-ray diffractometer (XRD) is designed to meet structural and phase analysis

More information

Technical Specification for Laboratory X-ray diffraction system for measurements of Crystallographic Texture and Residual Stress

Technical Specification for Laboratory X-ray diffraction system for measurements of Crystallographic Texture and Residual Stress INDIAN INSTITUTE OF TECHNOLOGY BOMBAY MATERIALS MANAGEMENT DIVISION Direct : (+91-22) 2576 8800 (DR) / 8803 (Local) / 8804 (Import) / 8805 (Enquiry & Bill tracking), 8802(Progress) Email : drmm@iitb.ac.in,

More information

Thin Film Scattering: Epitaxial Layers

Thin Film Scattering: Epitaxial Layers Thin Film Scattering: Epitaxial Layers Arturas Vailionis First Annual SSRL Workshop on Synchrotron X-ray Scattering Techniques in Materials and Environmental Sciences: Theory and Application Tuesday, May

More information

This lecture is part of the Basic XRD Course.

This lecture is part of the Basic XRD Course. This lecture is part of the Basic XRD Course. Basic XRD Course 1 A perfect polycrystalline sample should contain a large number of crystallites. Ideally, we should always be able to find a set of crystallites

More information

Instrument Configuration for Powder Diffraction

Instrument Configuration for Powder Diffraction Instrument Configuration for Powder Diffraction Advanced X-ray Workshop S.N. Bose National Centre for Basic Sciences, 14-15/12/2011 Innovation with Integrity Overview What is the application? What are

More information

High Resolution X-ray Diffraction

High Resolution X-ray Diffraction High Resolution X-ray Diffraction Nina Heinig with data from Dr. Zhihao Donovan Chen, Panalytical and slides from Colorado State University Outline Watlab s new tool: Panalytical MRD system Techniques:

More information

Lesson 1 Good Diffraction Data

Lesson 1 Good Diffraction Data Lesson 1 Good Diffraction Data Nicola Döbelin RMS Foundation, Bettlach, Switzerland Digital Diffractometers Transmission Geometry Debye-Scherrer Geometry Reflective Geometry Bragg-Brentano Geometry Glass

More information

X-ray analysis methods Mauro Sardela, Ph.D. Frederick Seitz Materials Research Lab. University of Illinois at Urbana-Champaign

X-ray analysis methods Mauro Sardela, Ph.D. Frederick Seitz Materials Research Lab. University of Illinois at Urbana-Champaign Advanced Materials Characterization Workshop June 3 and 4, 2013 X-ray analysis methods Mauro Sardela, Ph.D. Frederick Seitz Materials Research Lab. University of Illinois at Urbana-Champaign X-ray interactions

More information

Physics 6180: Graduate Physics Laboratory. Experiment CM5: X-ray diffraction and crystal structures

Physics 6180: Graduate Physics Laboratory. Experiment CM5: X-ray diffraction and crystal structures Physics 6180: Graduate Physics Laboratory Experiment CM5: X-ray diffraction and crystal structures References: Preston and Dietz, Expt. 10 pp. 180-197 Eisberg and Resnick, Quantum Physics, Sec. 9 Kittel,

More information

Thermo Scientific ARL EQUINOX 100. X-ray Diffractometers

Thermo Scientific ARL EQUINOX 100. X-ray Diffractometers Thermo Scientific ARL EQUINOX 100 X-ray Diffractometers High performance in a compact size Thermo Scientific ARL EQUINOX 100 X-ray diffractometer (XRD) is designed to meet structural and phase analysis

More information

Characterization of Surfaces and Thin Films Using a High Performance Grazing Incidence X-ray Diffractometer

Characterization of Surfaces and Thin Films Using a High Performance Grazing Incidence X-ray Diffractometer Copyright(c)JCPDS-International Centre for Diffraction Data 2000,Advances in X-ray Analysis,Vol.43 177 Characterization of Surfaces and Thin Films Using a High Performance Grazing Incidence X-ray Diffractometer

More information

Introduction to Powder Diffraction/Practical Data Collection

Introduction to Powder Diffraction/Practical Data Collection Durham University Chemistry Department Introduction to Powder Diffraction/Practical Data Collection Dr Ivana Evans Durham, January 2007 Durham Outline Information in a powder pattern What is diffraction

More information

Earth & Planetary Science Applications of X-Ray Diffraction: Advances Available for Research with our New Systems

Earth & Planetary Science Applications of X-Ray Diffraction: Advances Available for Research with our New Systems Earth & Planetary Science Applications of X-Ray Diffraction: Advances Available for Research with our New Systems James R. Connolly Dept. of Earth & Planetary Sciences University of New Mexico 401/501

More information

X-RAY DIFFRACTION CHARACTERIZATION OF MULTILAYER EPITAXIAL THIN FILMS DEPOSITED ON (0001) SAPPHIRE

X-RAY DIFFRACTION CHARACTERIZATION OF MULTILAYER EPITAXIAL THIN FILMS DEPOSITED ON (0001) SAPPHIRE The Rigaku Journal Vol. 13/No. 1/ 1996 CONTRIBUTED PAPERS X-RAY DIFFRACTION CHARACTERIZATION OF MULTILAYER EPITAXIAL THIN FILMS DEPOSITED ON (0001) SAPPHIRE THOMAS N. BLANTON AND LIANG-SUN HUNG Imaging

More information

Defect depth profiling of CdZnTe using high-energy diffraction measurements

Defect depth profiling of CdZnTe using high-energy diffraction measurements Defect depth profiling of CdZnTe using high-energy diffraction measurements M.S. Goorsky, a H. Yoon, a M. Ohler, b K. Liss b a Department of Materials Science and Engineering University of California,

More information

Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process

Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process by Kozue Yabusaki * and Hirokazu Sasaki * In recent years the FIB technique has been widely used for specimen

More information

OPTIMIZING XRD DATA. By: Matthew Rayner

OPTIMIZING XRD DATA. By: Matthew Rayner OPTIMIZING XRD DATA By: Matthew Rayner 1 XRD Applications PANalytical classifies XRD applications in 4 groups 1. Powders 2. Nanomaterials 3. Solid objects 4. Thin films Many day-to-day samples cross these

More information

PARALLEL BEAM METHODS IN POWDER DIFFRACTION AND TEXTURE IN THE LABORATORY.

PARALLEL BEAM METHODS IN POWDER DIFFRACTION AND TEXTURE IN THE LABORATORY. Copyright(c)JCPDS-International Centre for Diffraction Data 2000,Advances in X-ray Analysis,Vol.43 135 PARALLEL BEAM METHODS IN POWDER DIFFRACTION AND TEXTURE IN THE LABORATORY. R.A. Clapp and M.Halleti

More information

ATTACHMENTES FOR APD 2000 PRO POWDER X-RAY DIFFRACTOMETER. Monochromators

ATTACHMENTES FOR APD 2000 PRO POWDER X-RAY DIFFRACTOMETER. Monochromators Monochromators Secondary graphite monochromator Johansson Ka 1 monochromator Parabolic monochromator Secondary flat and curved graphite monochromators suitable for Ag, Cr, Fe, Cu, Co and Mo radiations

More information

Rietveld combined analysis: examples. Luca Lutterotti Dipartimento di Ingegneria dei Materiali e delle Tecnologie Industriali Università di Trento

Rietveld combined analysis: examples. Luca Lutterotti Dipartimento di Ingegneria dei Materiali e delle Tecnologie Industriali Università di Trento Rietveld combined analysis: examples Luca Lutterotti Dipartimento di Ingegneria dei Materiali e delle Tecnologie Industriali Università di Trento Maud program: Methodology implementation Rietveld based

More information

Epitaxy and Roughness Study of Glancing Angle Deposited Nanoarrays. Hamid Alouach and G. J. Mankey

Epitaxy and Roughness Study of Glancing Angle Deposited Nanoarrays. Hamid Alouach and G. J. Mankey Epitaxy and Roughness Study of Glancing Angle Deposited Nanoarrays Hamid Alouach and G. J. Mankey Introduction Objective: Approach: Characterization: Fabrication of nanoscale magnetic wires for spin transport

More information

Dedication in X-ray powder diffraction

Dedication in X-ray powder diffraction X PERT 3 POWDER Dedication in X-ray powder diffraction The Analytical X-ray Company x-ray diffraction Let materials work for you Advancing materials research From geological exploration, through processing

More information

Towards the Epitaxial Growth of Silver on Germanium by Galvanic Displacement

Towards the Epitaxial Growth of Silver on Germanium by Galvanic Displacement Electronic Supplementary Material (ESI) for CrystEngComm. This journal is The Royal Society of Chemistry 2014 Towards the Epitaxial Growth of Silver on Germanium by Galvanic Displacement Sayed Youssef

More information

IMP EPD End Point Detector

IMP EPD End Point Detector IMP EPD End Point Detector An overview of the Hiden Analytical SIMS end point detector system for ion beam etch applications IMP-EPD Presentation Topics The topics covered in the presentation include:

More information

Lesson 3 Sample Preparation

Lesson 3 Sample Preparation Lesson 3 Sample Preparation Nicola Döbelin RMS Foundation, Bettlach, Switzerland January 14 16, 2015, Bern, Switzerland Repetition: Bragg-Brentano Diffractometer Typical Configuration (with Kβ filter)

More information

Grazing Incidence X-Ray Diffraction of Longitudinal and Perpendicular Magnetic Recording Media for HDD

Grazing Incidence X-Ray Diffraction of Longitudinal and Perpendicular Magnetic Recording Media for HDD Grazing Incidence X-Ray Diffraction of Longitudinal and Perpendicular Magnetic Recording Media for HDD Michio OHSAWA, Fuji Electric Corporate Research and Development, Ltd. ohsawa-michio@fujielectric.co.jp

More information

Strain. Two types of stresses: Usually:

Strain. Two types of stresses: Usually: Stress and Texture Strain Two types of stresses: microstresses vary from one grain to another on a microscopic scale. macrostresses stress is uniform over large distances. Usually: macrostrain is uniform

More information

X ray diffraction in materials science

X ray diffraction in materials science X ray diffraction in materials science Goals: Use XRD spectra to determine the orientation of single crystals and preferred orientations in a thin film. Understand how grain size and strain affect the

More information

Benchtop XRD diffractometer. MiniFlex. Analysis of materials by X-ray diffraction

Benchtop XRD diffractometer. MiniFlex. Analysis of materials by X-ray diffraction Benchtop XRD diffractometer MiniFlex Analysis of materials by X-ray diffraction More power More flexibility More results The new MiniFlex is available in two models. The MiniFlex 600 is the most powerful

More information

MiniFlex. Analysis of materials by X-ray diffraction. Benchtop XRD diffractometer

MiniFlex. Analysis of materials by X-ray diffraction. Benchtop XRD diffractometer MiniFlex Analysis of materials by X-ray diffraction Benchtop XRD diffractometer More power More flexibility More results The new MiniFlex is available in two models. The MiniFlex 600 is the most powerful

More information

CURVATURE MEASUREMENTS OF STRESSED SURFACE-ACOUSTIC- WAVE FILTERS USING BRAGG ANGLE CONTOUR MAPPING

CURVATURE MEASUREMENTS OF STRESSED SURFACE-ACOUSTIC- WAVE FILTERS USING BRAGG ANGLE CONTOUR MAPPING 86 CURVATURE MEASUREMENTS OF STRESSED SURFACE-ACOUSTIC- WAVE FILTERS USING BRAGG ANGLE CONTOUR MAPPING ABSTRACT Paul M. Adams The Aerospace Corporation Los Angeles, CA 90009 Surface-acoustic-wave (SAW)

More information

In-Plane Pole Figure Measurement Part

In-Plane Pole Figure Measurement Part In-Plane Pole Figure Measurement Part Contents Contents 1. How to set Part conditions...1 1.1 Setting conditions... 1 1.2 Customizing scan conditions and slit conditions... 5 2. Measurement sequence...13

More information

Technical articles Micro-area X-ray diffraction measurement by SmartLab μ

Technical articles Micro-area X-ray diffraction measurement by SmartLab μ Technical articles Micro-area X-ray diffraction measurement by SmartLab μhr diffractometer system with ultra-high brilliance microfocus X-ray optics and two-dimensional detector HyPix-3000 Yuji Shiramata*

More information

X-RAY DIFFRACTION ANALYSIS OF Si/SiGe RESONANT TUNNELING STRUCTURES 1. INTRODUCTION

X-RAY DIFFRACTION ANALYSIS OF Si/SiGe RESONANT TUNNELING STRUCTURES 1. INTRODUCTION PK ISSN 0022-2941; CODEN JNSMAC Vol. 48, No.1 & 2 (April & October 2008) PP 81-86 X-RAY DIFFRACTION ANALYSIS OF Si/SiGe RESONANT TUNNELING STRUCTURES A. R. KHAN 1 *, M. MEDUŇA 1, G. BAUER 1, C. FALUB 2

More information

Di rect beam J' / o 20, " - l To tally reftected. 20, X Scan / "-

Di rect beam J' / o 20,  - l To tally reftected. 20, X Scan / - THE RIGAKU JOURNAL VOl. 8 / NO. 1 / 1991 Technical Note THIN FILM X-RAY DIFFRACTOMETRY H. ARAKI Rigaku Corporation. Tokvo. Japan 1. Introduction X-ray diffraction methods have been very popular in recent

More information

Ref: TFR/PD/IC15-065/ August 18, Notice inviting tender (TWO PART TENDER) for the following item:

Ref: TFR/PD/IC15-065/ August 18, Notice inviting tender (TWO PART TENDER) for the following item: Autonomous Institution of the Department of Atomic Energy, Government of India HOMI BHABHA ROAD, NAVY NAGAR, COLABA, MUMBAI - 400 005. Telephone : 022-2278 2890, 2886, 2887, 2595 E-mail: sgk@tifr.res.in,

More information

Identification of Crystal Structure and Lattice Parameter. for Metal Powders Using X-ray Diffraction. Eman Mousa Alhajji

Identification of Crystal Structure and Lattice Parameter. for Metal Powders Using X-ray Diffraction. Eman Mousa Alhajji Identification of Crystal Structure and Lattice Parameter for Metal Powders Using X-ray Diffraction Eman Mousa Alhajji North Carolina State University Department of Materials Science and Engineering MSE

More information

Heterostructures of Oxides and Semiconductors - Growth and Structural Studies

Heterostructures of Oxides and Semiconductors - Growth and Structural Studies Heterostructures of Oxides and Semiconductors - Growth and Structural Studies Beamline 17B1 W20 X-ray Scattering beamline Authors M. Hong and J. R. Kwo National Tsing Hua University, Hsinchu, Taiwan H.

More information

JSM-7800F Field Emission Scanning Electron Microscope

JSM-7800F Field Emission Scanning Electron Microscope JSM-7800F catalogue JSM-7800F Field Emission Scanning Electron Microscope We provide high performance The Ultimate Research Tool for Multi-Disciplinary Research Institutions Extreme resolution The super

More information

F. J. Cadieu*, I. Vander, Y. Rong, and R. W. Zuneska, Physics Department, Queens College of CUNY, Flushing, NY

F. J. Cadieu*, I. Vander, Y. Rong, and R. W. Zuneska, Physics Department, Queens College of CUNY, Flushing, NY Copyright JCPDS-International Centre for Diffraction Data 2012 ISSN 1097-0002 1 X-Ray Measurements of Nanometer Thick Ta x O 1-x and Hf x O 1-x Films on Silicon Substrates for Thickness and Composition

More information

TEM imaging and diffraction examples

TEM imaging and diffraction examples TEM imaging and diffraction examples Duncan Alexander EPFL-CIME 1 Diffraction examples Kikuchi diffraction Epitaxial relationships Polycrystalline samples Amorphous materials Contents Convergent beam electron

More information

High Resolution X-Ray Diffraction Applied to Strain Relaxation of Lattice Mismatched Semiconductor Films

High Resolution X-Ray Diffraction Applied to Strain Relaxation of Lattice Mismatched Semiconductor Films 1 High Resolution X-Ray Diffraction Applied to Strain Relaxation of Lattice Mismatched Semiconductor Films P.M. Mooney and J.L. Jordan-Sweet IBM T.J. Watson Research Center POBox218 Yorktown Heights, NY

More information

Crystal structure analysis of spherical silicon using X-ray pole figure

Crystal structure analysis of spherical silicon using X-ray pole figure Solid State Phenomena Vol. 9 (00) pp 9-56 (00) Trans Tech Publications, Switzerland doi:0.08/www.scientific.net/ssp.9.9 Tel.No.:+8-77-56-98 FaxNo.:+8-77-56-98 e-mail: ro00986@se.ritsumei.ac.jp Crystal

More information

Central Purchase unit National Institute of Technology Srinagar Tel: / / / Fax:

Central Purchase unit National Institute of Technology Srinagar Tel: / / / Fax: Central Purchase unit National Institute of Technology Srinagar-190006 Tel:- 0194-2424792/2429423/2424809/2424797 Fax:- 0194-2420475 *************************************************************** No.

More information

Thermo Scientific X-ray product range. For chemical and phase analysis of solids, liquids and powders

Thermo Scientific X-ray product range. For chemical and phase analysis of solids, liquids and powders X-ray product range For chemical and phase analysis of solids, liquids and powders Metals, cement, mining, petrochemicals, environment, electronics, geology, glass, polymers, forensics, materials science,

More information

Thermo Scientific X-ray product range. For chemical and phase analysis of solids, liquids and powders

Thermo Scientific X-ray product range. For chemical and phase analysis of solids, liquids and powders X-ray product range For chemical and phase analysis of solids, liquids and powders Metals, cement, mining, petrochemicals, environment, electronics, geology, glass, polymers, forensics, materials science,

More information

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon Chapter 5 Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon 5.1 Introduction In this chapter, we discuss a method of metallic bonding between two deposited silver layers. A diffusion

More information

Bulk crystal growth. A reduction in Lg will increase g m and f oper but with some costs

Bulk crystal growth. A reduction in Lg will increase g m and f oper but with some costs Bulk crystal growth The progress of solid state device technology has depended not only on the development of device concepts but also on the improvement of materials. A reduction in Lg will increase g

More information

Philips Analytical, Lelyweg 1, 7602 EA Almelo, The Netherlands

Philips Analytical, Lelyweg 1, 7602 EA Almelo, The Netherlands Copyright(c)JCPDS-International Centre for Diffraction Data 2001,Advances in X-ray Analysis,Vol.44 284 MICRO-DIFFRACTION WITH MONO-CAPILLARIES M.J. Fransen, J.H.A. Vasterink and J. te Nijenhuis Philips

More information

Europe. Benchtop X-Ray Diffractometer.

Europe. Benchtop X-Ray Diffractometer. Europe Benchtop X-Ray Diffractometer www.gnr.it benchtop x-ray diffractometer Europe, High Performance in a compact configuration GNR is a worldwide market leader supplying advanced X-Ray (XRD, XRF) and

More information

ARL X TRA Powder X-ray Diffraction System. Uncompromised Intensity and Resolution

ARL X TRA Powder X-ray Diffraction System. Uncompromised Intensity and Resolution e l e m e n t a l a n a l y s i s ARL X TRA Powder X-ray Diffraction System Uncompromised Intensity and Resolution Analyze Detect Measure Control ARL X TRA Powder X-ray Diffraction System ARL X TRA High

More information

X-RAY DIFFRACTION CHARACTERIZATION OF MOVPE ZnSe FILMS DEPOSITED ON (100) GaAs USING CONVENTIONAL AND HIGH- RESOLUTION DIFFRACTOMETERS

X-RAY DIFFRACTION CHARACTERIZATION OF MOVPE ZnSe FILMS DEPOSITED ON (100) GaAs USING CONVENTIONAL AND HIGH- RESOLUTION DIFFRACTOMETERS 77 X-RAY DIFFRACTION CHARACTERIZATION OF MOVPE ZnSe FILMS DEPOSITED ON (100) GaAs USING CONVENTIONAL AND HIGH- RESOLUTION DIFFRACTOMETERS T.N. Blanton 1), C.L. Barnes 1), M. Holland 1), K.B. Kahen 1),

More information

Smithsonian Museum Conservation Institute

Smithsonian Museum Conservation Institute Smithsonian Museum Conservation Institute XRD Analysis of the Corrosion Products from a Tlingit Copper Rattle MCI#6241 Object: Tlingit Stikine Rattle Owner/Custodian: National Museum of the American Indian

More information

Materials Lab 1(MT344) X-ray Diffractometer Operation and Data Analysis. Instructor: Dr. Xueyan Wu ( 吴雪艳 )

Materials Lab 1(MT344) X-ray Diffractometer Operation and Data Analysis. Instructor: Dr. Xueyan Wu ( 吴雪艳 ) Materials Lab 1(MT344) X-ray Diffractometer Operation and Data Analysis Instructor: Dr. Xueyan Wu ( 吴雪艳 ) Goals To give students a practical introduction into the use of X-ray diffractometer and data collection.

More information

Stress Mitigation of X-ray Beamline Monochromators using a Topography Test Unit

Stress Mitigation of X-ray Beamline Monochromators using a Topography Test Unit 128 Stress Mitigation of X-ray Beamline Monochromators using a Topography Test Unit J. Maj 1, G. Waldschmidt 1 and A. Macrander 1, I. Koshelev 2, R. Huang 2, L. Maj 3, A. Maj 4 1 Argonne National Laboratory,

More information

ECCI of AlGaN/GaN HEMT structures grown on Si

ECCI of AlGaN/GaN HEMT structures grown on Si ECCI of AlGaN/GaN HEMT structures grown on Si D. Thomson 1, G. Naresh-Kumar 1, B. Hourahine 1, C. Trager-Cowan 1, P. Wright 2 and T. Martin 2 1 Dept. Of Physics, SUPA, University of Strathclyde, Glasgow

More information

Synchrotron X-Ray Topography Measurements on 4H-SiC Epitaxial Layer

Synchrotron X-Ray Topography Measurements on 4H-SiC Epitaxial Layer Synchrotron X-Ray Topography Measurements on 4H-SiC Epitaxial Layer Isaho KAMATA, Central Research Institute of Electric Power Industry (CRIEPI) Kamata@criepi.denken.or.jp Silicon carbide has excellent

More information

High Resolution X-ray Diffraction Analysis of Gallium Nitride/Silicon Carbide Heterostructures H.M. Volz 1, R.J. Matyi 2, and J.M.

High Resolution X-ray Diffraction Analysis of Gallium Nitride/Silicon Carbide Heterostructures H.M. Volz 1, R.J. Matyi 2, and J.M. Copyright ISSN (C) 197-2, JCPDS-International Advances in X-ray Centre Analysis, for Volume Diffraction 41 Data 1999 139 High Resolution X-ray Diffraction Analysis of Gallium Nitride/Silicon Carbide Heterostructures

More information

RECONSTRUCTION OF ORIGINAL INTENSITY FROM COVERED SAMPLES

RECONSTRUCTION OF ORIGINAL INTENSITY FROM COVERED SAMPLES RECONSTRUCTION OF ORIGINAL INTENSITY FROM COVERED SAMPLES 163 R.I. Barabash, T.R. Watkins, R.A. Meisner, T.D. Burchell, T.M. Rosseel Oak Ridge National Laboratory, Oak Ridge TN 37831, USA ABSTRACT The

More information

Optical Characterization of Epitaxial Semiconductor Layers

Optical Characterization of Epitaxial Semiconductor Layers Günther Bauer Wolfgang Richter (Eds.) Optical Characterization of Epitaxial Semiconductor Layers With 271 Figures Springer Contents Contributors XV 1 Introduction 1 Günther Bauer, Wolfgang Richter 2 Analysis

More information

Tanner B.K., Danilewsky A.N., Wittge J., Garagorri J., Elizalde M.R., Allen D., McNally P., Fossati M.C., Jacques D. and Ryan P.

Tanner B.K., Danilewsky A.N., Wittge J., Garagorri J., Elizalde M.R., Allen D., McNally P., Fossati M.C., Jacques D. and Ryan P. Intensity (cps) Re fe re nc e [Com pa rison 1] Com pa rison 2 Com pa rison 3 105 104 103 102 101 100-3000 -2500-2000 -1500-1000 -500 0 500 1000 1500 2000 2500 3000 O m e ga (se c ) X-ray Diffraction Imaging

More information

Investigating the crystal orientation of SiC CVD using orientation imaging microscopy (OIM) & X-ray diffraction (XRD) by Deepak Ravindra

Investigating the crystal orientation of SiC CVD using orientation imaging microscopy (OIM) & X-ray diffraction (XRD) by Deepak Ravindra Investigating the crystal orientation of SiC CVD using orientation imaging microscopy (OIM) & X-ray diffraction (XRD) by Deepak Ravindra Project Details SiC coating is ~200 microns thick on SiC substrate

More information

Spatially Resolved X-ray Diffraction Technique for Crystallographic Quality Inspection of Semiconductor Microstructures

Spatially Resolved X-ray Diffraction Technique for Crystallographic Quality Inspection of Semiconductor Microstructures Vol. 114 (2008) ACTA PHYSICA POLONICA A No. 5 Proc. XXXVII International School of Semiconducting Compounds, Jaszowiec 2008 Spatially Resolved X-ray Diffraction Technique for Crystallographic Quality Inspection

More information

Structures of AlN/VN superlattices with different AlN layer thicknesses

Structures of AlN/VN superlattices with different AlN layer thicknesses Structures of AlN/VN superlattices with different AlN layer thicknesses Quan Li a) Department of Physics, The Chinese University of Hong Kong, Shatin, New Territory, Hong Kong I.W. Kim, S.A. Barnett, and

More information

SYSTEMATIC ERRORS IN LINEAR PSD BASED HTXRD SYSTEMS

SYSTEMATIC ERRORS IN LINEAR PSD BASED HTXRD SYSTEMS Copyright(c)JCPDS-International Centre for Diffraction Data 2,Advances in X-ray Analysis,Vol.43 267 SYSTEMATIC ERRORS IN LINEAR PSD BASED HTXRD SYSTEMS E.A. Payzant and W.S. Harrison, III * Metals and

More information

Basics of X-Ray Diffraction

Basics of X-Ray Diffraction Basics of X-Ray Diffraction Crystalline materials are characterized by the orderly periodic arrangements of atoms. The (200) planes of atoms in NaCl The (220) planes of atoms in NaCl The unit cell is the

More information

TEM and Electron Diffraction Keith Leonard, PhD (1999) U. Cincinnati

TEM and Electron Diffraction Keith Leonard, PhD (1999) U. Cincinnati TEM and Electron Diffraction Keith Leonard, PhD (1999) U. Cincinnati Electron Microscopes: Electron microscopes, such as the scanning electron microscope (SEM) and transmission electron microscope (TEM)

More information

Microstructural Characterization of Materials

Microstructural Characterization of Materials Microstructural Characterization of Materials 2nd Edition DAVID BRANDON AND WAYNE D. KAPLAN Technion, Israel Institute of Technology, Israel John Wiley & Sons, Ltd Contents Preface to the Second Edition

More information

DIFFRACTION METHODS IN MATERIAL SCIENCE. Lecture 7

DIFFRACTION METHODS IN MATERIAL SCIENCE. Lecture 7 DIFFRACTION METHODS IN MATERIAL SCIENCE PD Dr. Nikolay Zotov Tel. 0711 689 3325 Email: zotov@imw.uni-stuttgart.de Room 3N16 Lecture 7 Practicum 15.12.2016 15:15 Room 3P2! Lectures 16.12.2016 11:00 Room

More information

Basics of XRD part IV

Basics of XRD part IV Basics of XRD part IV Dr. Peter G. Weidler Institute of Functional Interfaces IFG 1 10/31/17 KIT The Research University in the Helmholtz Association Name of Institute, Faculty, Department www.kit.edu

More information

Sidho-Kanho-Birsha University Ranchi Road, P.O.- Sainik School District - Purulia, PIN , W.B. Phone : Website-

Sidho-Kanho-Birsha University Ranchi Road, P.O.- Sainik School District - Purulia, PIN , W.B. Phone : Website- Sidho-Kanho-Birsha University Ranchi Road, P.O.- Sainik School District - Purulia, PIN 723104, W.B. Phone : 03252-202419 Website-www.skbu.ac.in Ref No: FO/884 /SKBU/18 Date: 27/09/2018 E- Tender Notice

More information

D2 PHASER. 2nd Generation. Innovation with Integrity XRD. Diffraction Solutions

D2 PHASER. 2nd Generation. Innovation with Integrity XRD. Diffraction Solutions D2 PHASER 2nd Generation Diffraction Solutions Innovation with Integrity XRD Compact all-in-one benchtop design Innovative high-end goniometer design Integrated PC / monitor DIFFRAC.SUITE software Leading

More information

FePd (216 Å) grown on (001) MgO. 2θ(deg)

FePd (216 Å) grown on (001) MgO. 2θ(deg) Major Findings 1. FePd thin films The structural characterization of the films grown at various substrate temperatures (RT- 700 o C) was performed ex-situ using X-Ray Diffraction (XRD). The optimum substrate

More information

LECTURE 8. Dr. Teresa D. Golden University of North Texas Department of Chemistry

LECTURE 8. Dr. Teresa D. Golden University of North Texas Department of Chemistry LECTURE 8 Dr. Teresa D. Golden University of North Texas Department of Chemistry Practical applications for lattice parameter measurements: -determine composition (stoichiometry) of the sample -determine

More information

X-Ray Analytical Methods

X-Ray Analytical Methods X-Ray Analytical Methods X-rays were discovered by W.C. Röentgen in 1895, and led to three major uses: X-ray radiography is used for creating images of light-opaque materials relies on the relationship

More information

Electron Microscopy. Dynamical scattering

Electron Microscopy. Dynamical scattering Electron Microscopy 4. TEM Basics: interactions, basic modes, sample preparation, Diffraction: elastic scattering theory, reciprocal space, diffraction pattern, Laue zones Diffraction phenomena Image formation:

More information

Diffraction Going further

Diffraction Going further Diffraction Going further Duncan Alexander! EPFL-CIME 1 Contents Higher order Laue zones (HOLZ)! Kikuchi diffraction! Convergent beam electron diffraction (CBED)! HOLZ lines in CBED! Thickness measurements!

More information

MRS spring meeting San Francisco, April 5-9, 1999, paper Y5.21 DIELECTRIC FUNCTION OF AlN GROWN ON Si (111) BY MBE

MRS spring meeting San Francisco, April 5-9, 1999, paper Y5.21 DIELECTRIC FUNCTION OF AlN GROWN ON Si (111) BY MBE DIELECTRIC FUNCTION OF AlN GROWN ON Si (111) BY MBE Stefan Zollner *, Atul Konkar *, R.B. Gregory *, S.R. Wilson *, S.A. Nikishin **, H. Temkin ** *Motorola Semiconductor Products Sector, Embedded Systems

More information

Supplementary Materials for

Supplementary Materials for advances.sciencemag.org/cgi/content/full/4/8/eaat4712/dc1 Supplementary Materials for In situ manipulation and switching of dislocations in bilayer graphene Peter Schweizer, Christian Dolle, Erdmann Spiecker*

More information

Practical X-Ray Diffraction

Practical X-Ray Diffraction Typical Example Practical X-Ray Diffraction White powder sample of NaCl,KCl,KNO 3 (trace of H 2 O) Département de chimie Université Laval Prof. Josée BRISSON Dr. Wenhua BI 2014-03-20 Powder X-Ray Diffraction

More information

Thermo Scientific ARL X TRA Powder X-ray Diffraction System Uncompromised Intensity and Resolution

Thermo Scientific ARL X TRA Powder X-ray Diffraction System Uncompromised Intensity and Resolution e l e m e n t a l a n a l y s i s Thermo Scientific ARL X TRA Powder X-ray Diffraction System Uncompromised Intensity and Resolution Part of Thermo Fisher Scientific ARL X TRA Powder X-ray Diffraction

More information

Observation in the GB (Gentle Beam) Capabilities

Observation in the GB (Gentle Beam) Capabilities A field-emission cathode in the electron gun of a scanning electron microscope provides narrower probing beams at low as well as high electron energy, resulting in both improved spatial resolution and

More information

HIGH RESOLUTION TEXTURE ANALYSIS OF THIN BLANKET FILMS AND DISCREET TEST STRUCTURES IN SEMICONDUCTOR DEVICES

HIGH RESOLUTION TEXTURE ANALYSIS OF THIN BLANKET FILMS AND DISCREET TEST STRUCTURES IN SEMICONDUCTOR DEVICES Centre for Diffraction Data 2001,Advances in X-ray Analysis,Vol.44 314 HIGH RESOLUTION TEXTURE ANALYSIS OF THIN BLANKET FILMS AND DISCREET TEST STRUCTURES IN SEMICONDUCTOR DEVICES K. J. Kozaczek, R. I.

More information

Physics and Material Science of Semiconductor Nanostructures

Physics and Material Science of Semiconductor Nanostructures Physics and Material Science of Semiconductor Nanostructures PHYS 570P Prof. Oana Malis Email: omalis@purdue.edu Today Bulk semiconductor growth Single crystal techniques Nanostructure fabrication Epitaxial

More information

Practical 2P8 Transmission Electron Microscopy

Practical 2P8 Transmission Electron Microscopy Practical 2P8 Transmission Electron Microscopy Originators: Dr. N.P. Young and Prof. J. M. Titchmarsh What you should learn from this practical Science This practical ties-in with the lecture course on

More information

X-ray diffraction

X-ray diffraction 2.2.3.- X-ray diffraction 2.2.3.1.- Origins and fundamentals of the technique The first experimental evidence concerning x-ray diffraction was given by Max von Laue who in 1912 demonstrated that x-rays

More information

X-Ray Diffraction Analysis

X-Ray Diffraction Analysis 162402 Instrumental Methods of Analysis Unit III X-Ray Diffraction Analysis Dr. M. Subramanian Associate Professor Department of Chemical Engineering Sri Sivasubramaniya Nadar College of Engineering Kalavakkam

More information

MICROSTRUCTURAL CHARACTERIZATION OF THIN FILMS AND SURFACES BY A NEW GRAZING INCIDENT X-RAY DIFFRACTOMETER

MICROSTRUCTURAL CHARACTERIZATION OF THIN FILMS AND SURFACES BY A NEW GRAZING INCIDENT X-RAY DIFFRACTOMETER The Rigaku Journal Vol. 17/ No. 2/ 2000 CONTRIBUTED PAPERS MICROSTRUCTURAL CHARACTERIZATION OF THIN FILMS AND SURFACES BY A NEW GRAZING INCIDENT X-RAY DIFFRACTOMETER SHIN-YA MATSUNO*, MASAYUKI KUBA, TAKESHI

More information

Molecular Beam Epitaxy of Cu(In,Ga)S 2 on Si

Molecular Beam Epitaxy of Cu(In,Ga)S 2 on Si Workshop 23 Molecular Beam Epitaxy of (In,Ga) 2 on Th. Hahn J. Cieslak H. Metzner J. Eberhardt M. Müller U. Kaiser U. Reislöhner W. Witthuhn J. Kräußlich Universität Jena hahn@pinet.uni-jena.de R. Goldhahn

More information

TEM Study of the Morphology Of GaN/SiC (0001) Grown at Various Temperatures by MBE

TEM Study of the Morphology Of GaN/SiC (0001) Grown at Various Temperatures by MBE TEM Study of the Morphology Of GaN/SiC (0001) Grown at Various Temperatures by MBE W.L. Sarney 1, L. Salamanca-Riba 1, V. Ramachandran 2, R.M Feenstra 2, D.W. Greve 3 1 Dept. of Materials & Nuclear Engineering,

More information

Supporting Information. Solution-Processed 2D PbS Nanoplates with Residual Cu 2 S. Exhibiting Low Resistivity and High Infrared Responsivity

Supporting Information. Solution-Processed 2D PbS Nanoplates with Residual Cu 2 S. Exhibiting Low Resistivity and High Infrared Responsivity Supporting Information Solution-Processed 2D PbS Nanoplates with Residual Cu 2 S Exhibiting Low Resistivity and High Infrared Responsivity Wen-Ya Wu, Sabyasachi Chakrabortty, Asim Guchhait, Gloria Yan

More information

NEBRASKA NANOSCALE FACILITY CHARACTERIZATION FACILITIES

NEBRASKA NANOSCALE FACILITY CHARACTERIZATION FACILITIES NEBRASKA NANOSCALE FACILITY CHARACTERIZATION FACILITIES Jeff Shield Department of Mechanical & Materials Engineering Nebraska Center for Materials and Nanoscience National Nanotechnology Coordinated Infrastructure

More information