Index. Cambridge University Press Focused Ion Beam Systems: Basics and Applications Edited by Nan Yao. Index.

Size: px
Start display at page:

Download "Index. Cambridge University Press Focused Ion Beam Systems: Basics and Applications Edited by Nan Yao. Index."

Transcription

1 3D characterization 126, 127 3D deposition 362, 373, 375, 382, 387, 388 3D etching 376 3D reconstruction 173, 234 3D shape 367, 374, 375, 382 3D-CAD 375, 376, 389 accelerated etching 359, 363, 376, 383 acceleration voltage 358, 363, 380, 381 acquisition time 164 adaptive intermolecular Brenner potential (AIREBO) 60 aerial wiring 388 AFM tip fabrication 67 alloy nanocluster 43 aluminum 70, 77 amorphization 44, 47, 197, 200, 201, 202, 203, 211, 212 amorphous layer 236, 237, 278 analysis 1 angular distribution 50 aspect ratio 83, 360, 361, 363, 382, 383 astigmatism 16, 19, 21 atom probe 128 atom probe analysis 234 atom probe tomography 149 atomic collision 32 atomic ionization 7 Auger de-excitation 95 Auger electron spectroscopy 295, 302, 313, 314 Auger neutralization 95 back-plane projections 296, 297 backscattered electron 24, 96, 147, 148, 152, 153, 162 backscattering 90 backscattering coefficient 103 beam booster 155 beam current 358, 363, 370 beam diameter 78 beam overlap 76, 78, 79, 269 beam scanning area 76 Bethe Bloch equation 36 binary collision approximation 38, 101 binding effect 50 binding energy 69, 72 biological samples 71, 337, 338, 339, 341, 346, 348, 349, 350 black defect 355, 382 bombardment-induced segregation 46 broad ion beam milling 215, 242 BSE I 153 BSE II 153 bulk-plasmon excitation 99 CAD 84 carbon 77 carbon film 355, 365, 371 carbon wall 357, 385, 386 channeling 40, 276, 277, 328, 329, 357, 371, 372 channeling contrast 25, 102, 108 characteristic X-rays 7, 8 characterization 319, 320, 321, 322, 323 charge neutralization 289 charging 279, 282, 285, 288, 292 charging up 102, 114 chemical effect 53 chemical fixation 338, 339 chemical maps 296, 297, 299, 300, 301, 302, 303, 304, 305, 307, 308, 310, 313 chemisorb 70 chemisorption 68 chlorine, Cl 2 68, 70, 360 chromatic aberration 15, 16, 19, 20 chrome-on-glass (COG) 286 circuit edit 356, 373 circuit modification 67 classical collision scheme 101 cluster emission 53 cluster formation 40 cluster yield 55 cluster-ion incidence 58 coating 338, 341 collision cascades 236 collision of atoms 33 collision spike

2 392 cone-beam X-ray 296 continuous slow-down approximation 93 copper 77 crater formation 47 critical point drying 342 cross section 34, 272, 274, 356, 357, 358, 363, 368 CrossBeam 1, 146 crossover free beam path 155 cryotechniques 338, 342, 352 crystalline-to-amorphous transition 48 crystallization 44 current density 82, 83 curtain effect 219 DADOS 47 damage 238, 244, 380, 381 damage cross section 46 damage production 46 decelerated etching 359, 361, 372 decomposition yield 76 deconvolution algorithms 296 defect 47 defect diffusion 48 defect distribution 46 defect transport 46 dehydration 338, 341 delineation etching 73 density effect 50 deposited energy density 46 deposition 2, 3, 67, 188, 195, 196, 205, 207, 209, 210, 211 deposition rate 84 deposition time 83 deposition yield 76 depth profile of implanted ion 53 desorption 68 device transplantation 116, 119 dielectric response function 99 differential scattering cross section 35 differential sputtering 303, 304, 314 diffusion 318, 319 diffusion dominant diffusive 62 diffusion length of defect 48 direct sectioning methods 296, 297 direct writing 187, 188, 189, 193, 195, 207, 211 dissociation 76 doping 318 dosage 5 dose 318, 319, 320, 321, 322, 323, 328, 329 dose control 319, 323 dose rate 320, 324, 325, 327, 328, 329 dosimetry 319, 321, 323 dot blanking scan 365, 366, 371, 376 drift correction 379, 389 DualBeam 1, 126 dwell time 5, 76, 80, 81, 325, 326 dwell time per pixel 76 dynamic SASAMAL 53 DYNTRIM 46 EBSD 126, 146 EDS 126 EDX 251, 254, 264 EELS 252 effective-medium interaction potential 52 elastic collisions 3, 89, 92, 101, 103 elastic scattering 96, 99 electron attenuation length 152 electron backscatter diffraction 148, 177 electron backscatter diffraction analysis 233 electron beam lithography 2 electron cascade 102, 103 electron holography 233, 297 electron optics 5, 15 electron probe current 163 electron promotion 97, 100 electron scattering 154 electron sources 5, 10 electronic collision 32 electronic energy loss 36 electronic excitation 60 electron-induced secondary electron (SE) emission 96 electron solid interactions 150 electrostatic lenses 16 elemental mapping 144, 301 embedment 338, 341 end-point 84 end-point detection 83, 84, 181 end point determination 139 energy and momentum conservation law 102 energy dispersive X-ray 302, 313, 314 energy distribution 50 energy filtering 160 energy loss 36, 40 energy of recoiled particle 35 energy of scattered particle 35 energy selective backscattering 160 enhanced diffusion 43 enhancement factor 72 escape depth 152 etch rate enhancements 68, 69, 71 etching 2, 67, 188, 192, 195, 196, 205, 206, 209, 210, 211 etching of carbon based materials 70 etching rate 73, 360, 361, 362, 375 etching speed 359, 360 ex-situ micromanipulator 234 ex-situ technique 217, 221 extractor 11 fabrication 1 failure analysis 67 FIB 126 FIB assist-deposition 116 FIB assisted metal deposition 251, 260, 265 FIB induced deposition 75, 76, 116 FIB micro-sampling technique 250 FIB microscopy 108 FIB milled cross section 87, 112 FIB milling 89, 338 FIB milling current 164

3 393 FIB milling time 250 FIB technique 250, 252, 260, 262, 263, 266, 267 FIB tomography 353 FIB-BSE 3D reconstruction 173 FIB-EBSD 177 FIB-EDS 3D reconstruction 173 FIB-SEM 3D reconstruction 146, 162, 170 FIB-STEM system 262, 266 field effect transistor 329, 331, 333 field emission guns 14 field ion microscope 297 fluid dynamics 54, 333 focused ion beam 1, 2, 87, 188, 189, 209, 210, 318, 319, 320, 322, 323, 325, 328, 329, 331 focused ion beam implantation 318, 319, 320, 321, 322, 323, 325, 326, 327, 328, 329, 331, 332, 333, 334 focused ion beam induced X-rays (FIBIX) 143 focused ion beam system 2 focused ion beam tomography 299, 300, 301, 302, 304, 313 freeze-drying 342 freeze-fracturing 350 freeze-substitution 352 Ga concentration 252, 254, 255 Ga implantation 238, 239, 240 gallium 386 gallium ion 7, 75, 358, 376 gas assisted etching (GAE) 217, 242, 359, 383 gas assisted focused ion beam deposition 75 gas assisted focused ion beam etching 68 gas assisted milling 282 gas crucible 78 gas enhanced ion etching 69 gas flux 76, 77, 78 gas injection needle 228 Gaussian beam profile 79 Gaussian distribution 7 G-Copy 384 glass needle 223 gold 77 halide 69, 70 H-bar technique 217 heat spike 47 high aspect ratio vias 68, 69, 83, 84 high-energy deposition 53 high-resolution TEM 225, 226, 241 high-voltage (HV) SEM imaging 120 hot liquid flow 47 image collection frequency 165 image deterioration 102, 114 imaging 1, 3 implantation 2, 3, 187, 195, 197, 198, 205, 206, 207, 209, 211, 252, 253, 255 implantation energy 324, 325, 329 incident angle dependence 106 inelastic collision(s) 3, 89, 93, 99, 101 inelastic mean free path 151 information depth 111 in-lens BSE detector 155 in-lens secondary detector 155 in-situ micromanipulator 227 in-situ technique 217, 226 insulator 99, 101, 114 insulator (TEOS) 77 insulator enhanced etch gas 84 integrated circuit 188 interaction volume 150 intermixing 238, 239 interstitials 236 inverse cosine law 106 iodine 70 ion beam 75 ion beam assisted deposition (IBAD) 75 ion beam current 76 ion beam induced CVD 355, 362 ion implantation 10, 40, 102, 318, 319, 320, 321 ion microscope 299, 300 ion optics 5, 15 ion reflection 197 ion source 10, 319, 355 ion atom collision 2, 7 ion-beam mixing 44 ion-induced kinetic secondary electron emission 101 ion-induced secondary electron emission 95 ionization 12 iron 77 irradiation damage 252 irradiation effect 44 Kikuchi lines 177 kinetic emission 95 kinetic energy 16 lanthanum hexaboride 13 laser 321, 323, 332, 333 lateral resolution 296, 298, 299, 300, 301, 304, 313, 314 lateral straggle 323 lathe 388, 389 lens equation 18 life science applications of FIB 350 light optics 16 Lindhard dielectric function 99 Lindhard, Scharff, and Schiott (LSS) 36 Lindhard screening radius 37 linear collision cascade 38 linear interpolation 305, 308, 310 linear response theory 98 liquid-metal gallium 355 liquid-metal ion sources 2 lithographic technique 2 lithography 188, 189, 191, 194, 210, 211, 331 local pressure 76 longitudinal straggle 323, 328 Lorentz force 18 low energy milling 240 magnetic fields 16 manipulation 1 MARLOWE 40, 47

4 394 mask repair 67, 355, 356, 370, 371, 373, 382, 385 mask(s) 188, 191, 192, 285, 288, 290, 291 material contrast 102 mechanical polish 250, 251 metal coating 251 metal deposition 251 metal needle 223 micro- and nano-machining 1 microexplosion 47 micro-machining 67 micromanipulator 223 micro-sampling (-sampling) 116, 122 micro-sectioning 341 milling 3, 188, 193, 194, 195, 197, 198, 200, 201, 202, 203, 204, 205, 209, 211, 212 molecular dynamics (MD) 38, 46, 53, 54, 58 molecular dynamics and Monte Carlo-corrected effective medium potential (MD/MC-CEM) 57 molecular sputtering theory 346 Monte Carlo (MC) 38, 40, 42 Monte Carlo (MC) method 89, 198 Monte Carlo (MC) simulation 88, 101 nanocluster 40 nanocluster band 44 nanocrystal 40, 44 nanocrystal formation 46 nano-fabrication 187, 198, 201, 209, 210, 212 nanoimprint 385, 387 nanostructure(s) 40, 188, 193, 195, 197, 201, 205, 207, 208, 209, 212 nanotechnology 1 nanotomography 146 negative spherical aberration 20 noble gas 74 noble gas ion sources 74 nonlinear effect 46 nonlinear response theory 98 nonlinear sputtering 47 nuclear collision 32 nuclear energy loss 36, 37 OKSANA 50 optical proximity correction (OPC) 290, 291 optical-data model 99 osmium tetroxide 339, 340 overlap 78, 80, 81 palladium 77 partial wave expansion 99 particle induced X-ray emission (PIXE) 127, 298 pattern size 76 patterns 67 penetration depth 7, 8 phase shift 98 phonon 197, 332 pillar 374, 375 pixel 76, 358, 359, 365, 366, 367 pixel size 165 pixel spacing 269, 270 plan-view lamella 231 platinum 77 PMMA 71 polymers 70 position correction 378 positive spherical aberration 20 post-implantation treatment 45 potential emission 95 precursor 76 precursor gases 77 preferential etching 68 preferential milling 216, 237, 244 preferential sputtering 53 preparation of specimens 215 pre-thinning 250 projected mean range 39 projected range straggling 39 projection methods 296 projection printing 187, 188, 189 prototyping 183 Pt strap 219 quantum computing 333 quantum dot 61 quantum-well layer 48 radiation enhanced diffusion 60 radiation irradiation 40 Radon transform 296, 297 range 39, 40, 90, 323 range straggling 39 rapid prototyping 146 raster 78 raster loop time 76 raster refresh time 76 raster scan 364, 365 recoil implantation 46 redeposition 197, 200, 201, 202, 203, 209, 211, 212, 220, 221, 237, 244, 273, 276, 367, 376 resistivity 84 resists 187, 188, 191, 193, 211 resonance neutralization 95 Richardson s law 13 ripple formation 60 ripple morphology 61 rotation stage 388 sample damage 75, 250 scan speed 358 scanning electron microscope (SEM) 87 scanning electron microscopy 80, 126, 295, 298, 304, 309, 313, 314, 355, 356, 357, 358 scanning force microscope 298 scanning ion microscope (SIM) 87, 127, 254, 261, 262, 378, 379, 380 scanning probe microscope 381 scanning probe microscopy 234 scanning TEM (STEM) 87, 127, 254, 261, 262, 378, 379, 380 scanning transmission ion microscope 295 scattering angle 34

5 395 Schottky barrier 160 Schottky field emitter 155 scintillator photomultiplier tube 24 screened Rutherford formula 99 script language 366, 367, 368 SE 1 electron 153 SE 2 electron 153 secondary electron(s) (SE) 3, 24, 84, 88, 356, 357, 358, 362, 363, 365, 370, 371, 372, 373, 374 secondary electron emission 95 secondary electron image 102 secondary electron (SE) yield 96 secondary ion(s) 3, 356, 357, 358, 371, 372, 373 secondary ion mass spectrometers 25 secondary ion mass spectrometry 295, 298, 300, 302, 303, 304, 305, 308, 310, 313, 314 secondary ion mass spectroscopy 353 segregation 237 selected etching 360 self-organizing process 61 SEM image 88, 102 SEM imaging 89 semiconductor 2, 319, 329 serial sectioning 129, 297, 298, 300, 301, 314, 351 shape based interpolation 296, 305, 307, 308, 309, 310, 312, 313 shock wave 46, 57 SiF 2 69 Sigmund s model 38 Sigmund Thompson distribution 51 silicon dioxide 77 SIM image 87, 102, 252 SIM imaging 89 SIMS 144 single ion implantation 333 single scattering model 90 Slice and View 129 spatial resolution 111, 296, 297, 298, 299, 300, 301, 302, 303, 304 spherical aberration 16, 19 SPI mode 126 spike 53 spike effect 46 spontaneous etching 68, 69, 70 spot size 78, 79 sputter etching 356, 358, 359, 360, 361, 367, 370, 376, 380, 382, 383, 385 sputter yield(s) 197, 198, 199, 200, 270, 272 sputtering 68, 197, 198, 199, 200, 201, 204, 211, 270, 272, 276 sputtering dominant erosive 62 sputtering mechanism for nonmetal 60 sputtering yield 50, 76 SRIM 37, 40 staining 338, 341 step size 78 stereolithographic printing 172 stochastic nonlinear continuum equation 61 stopping power 36, 93, 98 stovepipe needle 85 structure property relationships 295 structure-composition-processing-property 1 support disk 251 suppressor 12 surface barrier 96, 100 surface channeling 200 surface damage 102 surface modification 67 surface morphology 61 synchrotron source 296 tantalum 77 taper 382, 383, 384 Taylor cone 11 TEM grid 224 TEM sample(s) 75, 357, 362, 377, 378, 379, 380, 383 TEM sample preparation 67, 182, 250, 251, 258, 260, 262, 264, 266 thermal spike 54 thermal wave 321, 323 thin film 355, 362 Thomas Fermi atomic model 32, 35, 36 Thomas Fermi screened potential 33 three-stage process 96 threshold energy for kinetic emission 100 tomography 127 topographic contrast 102, 105 topography 298, 300, 301, 356, 357 total path length 90 transient enhanced diffusion (TED) 48 transmission electron microscope (TEM) 87 transmission electron microscopy 128, 295, 297, 298, 300, 377, 378, 380 TRIDYN 53 true low-energy secondary electron SE 96 tungsten 70, 77 tungsten film 356, 363 tungsten pillar 382 two-beam FIB 1, 4 ultramicrotomy 350 vacancies 216 Van der Waals forces 8 vector scan 364, 365, 366, 375 vertical resolution 299, 304, 313, 314 voxel 134, 299, 303, 305, 307, 308 water, H 2 O 360, 361, 362, 372 waveguide 331, 332 welded 215, 229 WF 6 70 white defect 355, 370, 371, 382 work function 12, 13 XeF 2 68, 360, 361, 363, 376 X-ray tomography 296 yield 229 Z-contrast image 160