3D microfabrication with inclined/rotated UV lithography

Size: px
Start display at page:

Download "3D microfabrication with inclined/rotated UV lithography"

Transcription

1 Sensors and Actuators A 111 (2004) D microfabrication with inclined/rotated UV lithography Manhee Han, Woonseob Lee, Sung-Keun Lee, Seung S. Lee 1 Department of Mechanical Engineering, Pohang University of Science and Technology, San 31 Hyoja-Dong Nam-Gu, Pohang, Kyongbuk , South Korea Received 6 June 2003 Abstract This paper presents a novel microfabrication technology of three-dimensional (3D) microstructures with inclined/rotated UV lithography using negative thick photoresist, SU-8. In exposure process, a photomask and a SU-8 coated substrate are fixed together, and tilted or tilted and rotated to a UV source. The reflected UV at the interface between the resist and the substrate is also exploited as well as the incident UV. With the 3D microfabrication technology, various 3D microstructures are easily fabricated such as oblique cylinders, embedded channels, bridges, V-grooves, truncated cones, and so on. The angles between fabricated structures and normal lines are 19.5, when the incident angle of UV in air is 32. Thus, the refractive index of SU-8 and the maximum refractive angle at the interface between SU-8 and air are expected about 1.6 and 39, respectively Elsevier B.V. All rights reserved. Keywords: 3D microfabrication; Inclined UV lithography; Rotated UV lithography; Reflected UV; SU-8 1. Introduction Recently, three-dimensional (3D) microstructures have been focused in various microsystems such as micro-optical, electronic, mechanical, and analysis systems. The conventional micromachining technologies, including surface micromachining [1], bulk micromachining [2], and LIGA process (German acronym for deep X-ray lithography, electroplating, and molding) [3], are not sufficient to fabricate various oblique or curved 3D microstructures. Thus several 3D microfabrication techniques have been developed such as micro stereo lithography [4], combined process of deep reactive ion etching and bulk micromachining [5], moving mask LIGA process [6], inclined deep X-ray lithography [7], and inclined UV lithography [8]. The micro stereo lithography is used to make various complex microstructures, but needs special equipments and it is difficult to fabricate the microstructures having good surface roughness and high resolution. Combined process of deep reactive ion etching and bulk micromachining is complex and the slopes of the fabricated structures are limited to the crystal faces of silicon. LIGA process makes it possible Corresponding author. Tel.: ; fax: address: manhee@postech.ac.kr (M. Han). 1 He is currently working with the Department of Mechanical Engineering, Korea Advanced Institute of Science and Technology, South Korea. to produce high precision and high-aspect-ratio structures, but accesses to an X-ray source are limited. Compared with them, inclined UV lithography enables the fabrication of various useful microstructures easily and cheaply, even though the shapes are limited. The inclined UV lithography was reported with thick positive photoresist in 1994 [8]. However, they did not show good results and no one has been performed a similar work using the inclined UV lithography afterward. Since negative thick photoresist SU-8 has good mechanical properties and allows the fabrication of high-aspect-ratio microstructures, the structures made of the resist can be used as molds for electroplating [9] and actuating parts of micromechanical systems [10,11]. In addition, the resist is relatively resistant to most organic solvents, and it is suitable for a variety of microsystems such as -TAS [12] and microfluidic system [13]. Recently, it is used to fabricate 3D microstructures such as embedded channels [13,14] and ramped structures [15]. In this paper, we report a novel 3D microfabrication method with inclined/rotated UV lithography using negative thick photoresist, SU-8. The resist and a photomask are inclined or inclined and rotated to a UV light source to fabricate various 3D microstructures. To form various microstructures, the reflected UV at the interface between the resist and the substrate is also exploited as well as the incident UV from the source /$ see front matter 2003 Elsevier B.V. All rights reserved. doi: /j.sna

2 M. Han et al. / Sensors and Actuators A 111 (2004) Fig. 1. Basic setup of inclined UV exposure. 2. Fabrication and results 2.1. Equipment and fabrication process Fig. 1 shows the basic setup of inclined UV exposure. It consists of a conventional UV source, a contact stage, and a tilting stage. A photomask and a photoresist coated substrate are placed between the upper and lower plates of the contact stage, and fixed by pushing up the lower plate with a screw. After the contact stage is leaned against the tilting stage fabricated with acrylic plates, the photoresist is exposed to the inclined UV. An example of the fabrication process is as follows. SU-8 50 is coated on a silicon wafer with a thickness of about Inclined UV Substrate Exposed region (a) Exposure process UV mask (b) PR structure Fig. 2. Schematic diagram of oblique microstructure fabrication with single inclined UV exposure. 100 m. The resist is soft baked on a 65 C hot plate for 10 min and on a 95 C hot plate for 30 min. It is contacted with a photomask using the contact stage. The stage is leaned against the tilting stage and the resist is exposed to UV. The dose of 365 nm UV is 500 mj/cm 2. Following the exposure, the resist is post-exposure baked on a 65 C hot plate for 3 min and on a 95 C hot plate for 10 min. Finally, the resist is developed in SU-8 developer for min at room temperature with mild agitation and rinsed with isopropyl alcohol Inclined UV lithography Fig. 2 shows the schematic diagram of oblique microstructure fabrication with single inclined UV exposure. Inclined UV is exposed on a soft baked SU-8 through a photomask. The exposed regions of the SU-8 are cross-linked during a post-exposure bake process and remained after a development process. Fig. 3 shows the demonstrations of the oblique microstructures fabricated with single inclined UV exposure. Figs. 4 and 5 show the schematic diagram and the results of the microfabrication of positive oblique microstructures with several inclined UV exposures, respectively. The structures in Fig. 5(a) (c) are formed with double inclined UV exposures when the mask patterns are triangles, rectangles, Fig. 3. SEM photographs of oblique microstructures fabricated with single inclined UV exposure ( 100 m).

3 16 M. Han et al. / Sensors and Actuators A 111 (2004) (a) Exposure process (b) PR structure Enclosed unexposed PR Fig. 4. Schematic diagram of positive oblique microstructure fabrication with several inclined UV exposures. and bars, respectively. The structures in Fig. 5(d) and (e) are fabricated with three and four times inclined UV exposures. The ones in Fig. 5(f) are fabricated by proximity printing with a gap, while others are fabricated by contact printing. Figs. 6 and 7 show the schematic diagram and the results of the microfabrication of negative oblique microstructures with double inclined UV exposures, respectively. The unexposed regions enclosed by the exposed regions are not developed because the regions are protected from SU-8 developer Inclined and rotated UV lithography Fig. 8 shows the schematic diagram of inclined and rotated exposure. A photomask and a photoresist coated substrate Fig. 6. Schematic diagram of negative oblique microstructure fabrication with double inclined UV exposures. are fixed to a turntable, and tilted to a UV source. During exposure, the mask and the resist are rotated by the turntable. Fig. 9 shows the results of the inclined and rotated UV lithography. The structures in Fig. 9(a) (c) are fabricated by contact printing when the patterns of them are a circle, a square, and crosses, respectively. With proximity printing, truncated shapes are formed such as the truncated cones in Fig. 9(d) Lithography using reflected UV Figs. 10 and 11 show the schematic diagram and the results of the microfabrication of microstructures using Fig. 5. SEM photographs of the positive oblique microstructures fabricated with several inclined UV exposures ( 200 m).

4 M. Han et al. / Sensors and Actuators A 111 (2004) Fig. 7. SEM photographs of the negative oblique microstructures fabricated with double inclined UV exposures ( 100 m). Fig. 8. Schematic diagram of inclined and rotated UV exposure. reflected UV as well as incident UV, respectively. The incident UV is always reflected at the interface between a photoresist and a substrate, however, the energy of the reflected UV is so low to initiate cross-linking of SU-8 in normal process. The effects of the reflection are slightly observed at the bottoms of the structures in Figs. 3 and 5. As the energy of the reflected UV is increased enough to initiate cross-linking of the exposed regions by the reflected UV, slender structures formed by the reflected UV become apparent. The dose of the reflected UV is raised by increasing the exposure time more than that of a regular exposure process, or by using Fig. 9. SEM photographs of the microstructures fabricated with inclined and rotated UV lithography ( 50 m).

5 18 M. Han et al. / Sensors and Actuators A 111 (2004) Reflected UV (a) Exposure process (b) PR structure Fig. 10. Schematic diagram of oblique microstructure fabrication using reflected UV. the volume change of the unexposed SU-8 under the regions in the post-exposure bake process. Fig. 12 shows the microstructures fabricated using reflected UV on different substrates when they are exposed to UV during the same time. While microstructures fabricated on a bare silicon wafer are influenced very little by the reflected UV, microstructures fabricated on a Al-coated silicon wafer are greatly influenced. reflexible substrate. With the method, the similar structures to what produced by the processes illustrated in Figs. 4 and 6 can be fabricated by single exposure. For example, the structures shown in Fig. 11(b) are similar to what shown in Fig. 7(b). Fig. 11(e) and (f) shows the structures fabricated with inclined and rotated UV lithography using reflected UV as well as incident UV. It is thought that the hemisphere shape at the center of top of the structure in Fig. 11(f) is formed when the upper central region is slightly exposed by the vibration of the mask and the substrate. Since the slightly exposed regions are weakly cross-linked and deformed by 3. Discussions Fig. 13 shows the propagation of UV in normal and inclined exposure processes. The trace of the incident UV with a right angle is on a straight line as shown in Fig. 13(a), thus the patterns of a photomask are transcribed to the resist. In inclined UV exposure processes, the UV is refracted and reflected as shown in Fig. 13(b), which makes it possible to fabricate various 3D structures. Fig. 14 shows the reflection and refraction of a light beam at an interface between two different transparent media. The relationships between incident angle (θ i ), reflection angle (θ r ), and refraction angle (θ t ) are determined by the law of reflection and the law of Fig. 11. SEM photographs of the microstructures fabricated using reflected UV ( 50 m).

6 M. Han et al. / Sensors and Actuators A 111 (2004) Incident beam Reflected beam θi θr Incident medium Transmitting medium θt Transmitting beam Fig. 14. Reflection and refraction of a light beam at an interface between two different transparent media. Fig. 12. SEM photographs of the microstructures fabricated using reflected UV on different substrates ( 100 m). UV UV Mask Mask PR Substrate (a) Normal exposure process PR Substrate (b) Inclined exposure process Fig. 13. Propagation of UV in normal and inclined exposure processes. refraction (Snell s law) as follows: θ i = θ r (law of reflection) n i sin θ i = n t sin θ t (law of refraction) where n i and n t are the refractive indices of the incident and transmitting medium, respectively. According to the law of reflection at the interface between the resist and the substrate, the slender part cross-linked by the reflected UV on a silicon substrate has the same angle with the part cross-linked by the incident UV as shown in Fig. 15. The energy of the traveling UV in SU-8 is absorbed into the SU-8. Thus, as the UV advances, the energy is gradually reduced and the fabricated part becomes slenderer. The part formed by the reflected UV is much slenderer than what formed by the incident UV, since the energy of the UV is considerably absorbed by the substrate. The structure in Fig. 15 is fabricated when the incident angle of UV in air (n air = 1) is 32. According to the law Fig. 15. Side view of a microstructure fabricated with inclined UV lithography. of refraction between air and the resist, it is expected that the refractive index of SU-8 is about 1.6, because the angle between the structure and a normal line, that is, the refraction angle of the UV is It is also known that the refraction angle is determined by the incident angle of UV in air and the refractive index of a photoresist without the influence of the refractive index of the mask. Thus, the maximum angle between the SU-8 structure and a normal line is calculated as follows: θ t max = sin 1 ( ni n t sin θ i max 4. Conclusion ) ( ) 1 = sin 1 sin Various 3D microstructures are fabricated with inclined/rotated UV lithography using negative thick photoresist, SU-8. In exposure process, a photomask and a SU-8 coated substrate are fixed together, and tilted or tilted and rotated to a UV source. The reflected UV at the interface between the resist and the substrate is also exploited

7 20 M. Han et al. / Sensors and Actuators A 111 (2004) as well as the incident UV. When the incident angle of UV in air is 32, the angles between fabricated structures and normal lines are Thus, the refractive index of SU-8 and the maximum refractive angle at the interface between SU-8 and air are expected about 1.6 and 39, respectively. The microstructures fabricated by the 3D fabrication technology could be directly applied to various microsystems or used as the molds for electroplating. Therefore, these techniques have many applications such as microchannels, jets, nozzles, filters, mixers, light guide panels of LCD monitor, riblets and more. Acknowledgements This work was supported by Grant no. R from the Korea Science & Engineering Foundation. References [1] J.M. Bustillo, R.T. Howe, R.S. Muller, Surface micromachining for microelectromechanical systerms, Proc. IEEE 86 (8) (1998) [2] G.T.A. Kovacs, N.I. Maluf, K.E. Petersen, Bulk micromachining of silicon, Proc. IEEE 86 (8) (1998) [3] H. Guckel, High-aspect-ratio micromachining via deep X-ray lithography, Proc. IEEE 86 (8) (1998) [4] K. Ikuta, S. Maruo, S. Kojima, New micro stereo lithography for freely movable 3D micro structure, in: Proceedings of the IEEE Micro Electro Mechanical Systems, Heidelberg, Germany, January 1998, pp [5] J.G.E. Gardeniers, J.W. Berenschot, M.J. de Boer, Y. Yeshurun, M. Hefetz, R. van t Oever, A. van den Berg, Silicon micromachined hollow microneedles for transdermal liquid transfer, in: Proceedings of the IEEE Micro Electro Mechanical Systems, Las Vegas, USA, January 2002, pp [6] O. Tabata, N. Matsuzuka, T. Yamaji, S. Uemura, K. Yamamoto, 3D Fabrication by moving mask deep X-ray lithography (M 2 DXL) with multiple stages, in: Proceedings of the IEEE Micro Electro Mechanical Systems, Las Vegas, USA, January 2002, pp [7] W. Ehrfeld, A. Schmidt, Recent developments in deep X-ray lithography, J. Vac. Sci. Technol. B 16 (1998) [8] C. Beuret, G.-A. Racine, J. Gobet, R. Luthier, N.F. de Rooij, Microfabrication of 3D multidirectional inclined structures by UV lithography and electroplating, in: Proceedings of the IEEE Micro Electro Mechanical Systems, Oiso, Japan, January 1994, pp [9] H. Lorenz, M. Despont, N. Fahrni, N. LaBianca, P. Renaud, P. Vettiger, SU-8: a low-cost negative resist for MEMS, J. Micromech. Microeng. 7 (1997) [10] I. Roch, Ph. Bidaud, D. Collard, L. Buchaillot, Fabrication and characterization of an SU-8 gripper actuated by a shape memory alloy thin film, J. Micromech. Microeng. 13 (2003) [11] N. Chronis, L.P. Lee, Polymer-based actuators integrated into microfluidic systems, in: Proceedings of the Micro Total Analysis Systems 2002, Nara, Japan, November 2002, pp [12] B.L. Gray, V.P. Iordanov, P.M. Sarro, A. Bossche, SU-8 structures for integrated high-speed screening, in: Proceedings of the Micro Total Analysis Systems 2002, Nara, Japan, November 2002, pp [13] R.J. Jackman, T.M. Floyd, R. Ghodssi, M.A. Schmidt, K.F. Jensen, Microfluidic systems with on-line UV detection fabricated in photodefinable epoxy, J. Micromech. Microeng. 11 (2001) [14] F.G. Tseng, Y.J. Chuang, W.K. Lin, A novel fabrication method of embedded micro channels employing simple UV dosage control and antireflection coating, in: Proceedings of the IEEE Micro Electro Mechanical Systems, Las Vegas, USA, January 2002, pp [15] Y. Choi, K. Kim, M.G. Allen, Continuously-varying, threedimensional SU-8 structures: fabrication of inclined magnetic actuators, in: Proceedings of the IEEE Micro Electro Mechanical Systems, Las Vegas, USA, January 2002, pp