Dielectric Films for Advanced Microelectronics

Size: px
Start display at page:

Download "Dielectric Films for Advanced Microelectronics"

Transcription

1 Dielectric Films for Advanced Microelectronics Edited by Mikhail Baklanov IMEC, Leuven, Belgium Martin Green NIST, Gaithersburg, Maryland, USA and Karen Maex IMEC, Leuven, Belgium 31CENTENNIAL John Wiley & Sons, Ltd

2 Contents Series Preface Preface xi xiii 1 Low and Ultralow Dielectric Constant Films Prepared by Plasma-enhanced Chemical Vapor Deposition 1 A. Grill 1.1 Introduction Property Requirements for Integration Characterization Organic PECVD Dielectrics: Diamond-like Carbon and Fluorinated Diamond-like Carbon Preparation Properties of DLC-type low-k dielectrics Processing of DLC-type low-& dielectrics Integration of DLC-type low-k dielectrics Summary SiCOH Films as Low-k and Ultralow-fc Dielectrics Preparation Properties of SiCOH and psicoh dielectrics Integration of SiCOH as the interconnect dielectric Conclusions 30 Addendum 30 References 30 2 Spin-on Dielectric Materials 33 Geraud Dubois, Robert D. Miller, and Willi Volksen 2.1 Introduction Spin-on Dense Materials Organic polymers Inorganic polymers Spin-on Porous Materials Porogen free Systems Porogen-containing Systems New Processing Strategy to Integration Issues Summary 71 Acknowledgments 72 References 73

3 vi CONTENTS 3 Porosity of Low Dielectric Constant Materials Introduction Positron Annihilation Spectroscopy 86 David W. Gidley, Hua-Gen Peng, and Richard Vallery Introduction Overview of the PALS experimental technique Ps in porous films Pore characterization with PALS Future improvements in PAS capabilities Conclusion 99 Acknowledgments Structure Characterization of Nanoporous Interlevel Dielectric Thin Films with X-ray and Neutron Radiation 100 Christopher L. Soles, Hae-Jeong Lee, Bryan D. Vogt, Eric K. hin, and Wen-li Wu Introduction Thin film density by X-ray reflectivity (XR) Small-angle X-ray/neutron scattering Pore wall density and pore structure X-ray porosimetry Comparison of small-angle scattering and X-ray porosimetry Conclusions 116 Acknowledgments Ellipsometric Porosimetry 117 Mikhail R. Baklanov Introduction Fundamentals of ellipsometric porosimetry Porosity characterization by EP Conclusions 130 Acknowledgments 131 References Mechanical and Transport Properties of Low-& Dielectrics 137 J.L. Plawsky, R. Achanta, W. Cho, O. Rodriguez, R. Saxena, and W.N. GUI 4.1 Introduction Classification of Low- Dielectrics Mechanical Properties Introduction Modulus Interfacial properties Thermal Properties of Low- Materials Thermal stability Thermal conductivity of low-fc dielectric films Interaction of Porous Materials with Metals and Barrier Materials The effect of the chemistry of the dielectric The effect of dielectric morphology Conclusions 188 References 190

4 CONTENTS vli 5 Integration of Low- Dielectric Films in Damascene Processes 199 R.J.O.M. Hoofman, V.H. Nguyen, V. Arnal, M. Broekaart, L.G. Gösset, W.F.A. Besling, M. Fayolle, and F. lacopi 5.1 Introduction Damascene Integration Approaches From aluminum to copper in integrated circuits Dual damascene architectures Low-& Integration Challenges Resist poisoning Compatibility of \ow-k materials with wet cleaning Compatibility of metallic diffusion barriers with low-a; materials Pore sealing Processing damage to low-ä: films CMP compatibility Reliability Challenges 238 Acknowledgments 239 References ONO Structures and Oxynitrides in Modern Microelectronics: Material Science, Characterization and Application 251 Yakov Roizin and Vladimir Gritsenko 6.1 Introduction Technology and Basic Properties of Silicon Nitride/Oxynitride Films and ONO Stacks Traditional deposition techniques Silicon nitrides and oxynitrides as gate dielectrics ONO Stacks for advanced memories Compositional analyses of device-quality ONO Stack Atomic Structure of Silicon Oxynitride Traps in the Nitride Layer of ONO Charge Transport in Silicon Nitride Device Applications of ONO Structures Traditional SONOS NROM memories High-fc dielectrics in SONOS memories Conclusion 290 References Material Engineering of High-A: Gate Dielectrics 297 Akira Toriumi and Koji Kita 7.1 Introduction Dielectric Permittivity of High-fc Insulators General Microscopic polarization Lattice Vibration Electronic Structure High-fc Film Growth Interface Layer 310

5 viii CONTENTS Interface layer properties Interface layer formation process Hf0 2 -based Ternary Oxides HfSiO, and HfA10 x New candidates for amorphous High-fc dielectric films Dielectric permittivity engineering High- MOSFET Inversion layer mobility Threshold voltage Summary 332 Acknowledgments 333 References Physical Characterization of Ultra-thin High-fc Dielectric 337 T. Conard, H. Bender, and W. Vandervorst 8.1 Introduction Surface Preparation Hf0 2 on Si by ALCVD SrTi0 3 on Si by MBE Hf0 2 on Ge by MOCVD GrowthMode Film Thickness Density Composition Bulk composition Contamination Interfacial layer characterization Bandgap and band-offset Crystallography Defects Conclusion 366 References Electrical Characterization of Advanced Gate Dielectrics 371 Robin Degraeve, Jurriaan Schmitz, Luigi Pantisano, Eddy Simoen, Michel Houssa, Ben Kaczer and Guido Groeseneken 9.1 Introduction Impact of Scaling of Si0 2 -based Gate Dielectrics Gate leakage current C-V measurements and Interpretation Charge pumping Noise characterization and modeling Time-dependent dielectric breakdown Negative-bias temperature instability Characterization of High-& Dielectrics and Metal Gates Effective oxide thickness: EOT vs CET Gate workfunction 405

6 CONTENTS ix Interface and bulk defect characterization Noise characterization and modeling Time-dependent dielectric breakdown Negative-bias temperature instability Conclusions 422 References Integration Issues of High-fc Gate Dielectrics 437 Yasuo Nara 10.1 Introduction Thermal Stability Improvement by Nitrogen Incorporation Interfacial Characteristics Between High-k and Silicon Substrate Gate Material Selection: Poly-Si Gate vs Metal Gate Integration of 65 nm Node HfSiON Transistor with SRAM 447 Acknowledgments 449 References Anisotropie Conductive Film (ACF) for Advanced Microelectronic Interconnects 453 Yi Li and C. P. Wong 11.1 Introduction Materials Adhesive matrix Conductive fillers Electrical Properties Self-assembled monolayer (SAM) Thermal behavior of monolayer coating Electrical properties of ACA with SAM Thermal conduetivity of ACA Low-temperature sintering of nano-ag-filled ACE Assembly Applications Application of ACA/ACF in flip-chip SMT applications ACF for liquid crystal display (LCD) applications Reliability Future Advances of ACF Materials development High-frequency compatibility Reliability Wafer-level application Conclusion 472 References 473 Index 477