Microwave Plasma Processing

Size: px
Start display at page:

Download "Microwave Plasma Processing"

Transcription

1 Microwave Plasma Processing MUEGGE GMBH Hochstraße Reichelsheim Fon +49 (0) Fax +49 (0)

2 Microwave Plasma Processing Microwave Plasma Technology: Microwave plasma technology is used wherever quality, productivity, environmental compatibility, precision and flexibility are important. The excellent potential of plasma technology is used particularly in electronics, medical engineering, automotive, machine and tool construction, textile, optical and solar cell industries. MUEGGE helps you to apply this forward looking technology to your area of focus. Our patented technologies: Plasmaline, Plasma-Array, & Plasmodul, are unique in the market and allow us to provide solutions for our customer's most demanding plasma applications. Muegge's remote microwave plasma source disassociates upstream process gases to their atomic form generating radicals downstream for damage-free wafer processing. No plasma, only radicals reach the process chamber, therefore making the RPS system ideal for applications which need to avoid physical effects such as ion bombardment and high thermal load. Plasmaline Applications include but not limited to: Surface modification Photoresist removal Silicon etch Oxide etch Chamber clean Deposition Plasma array Plasmodule Plasma Processing Expertise: Establishing and realizing customer-specific plasma processing requirements is one of MUEGGE s key strengths. Muegge is staffed with plasma physicists and process engineers working in a fully equipped, on-site plasma lab. Our direct interaction with our customer's results in the fastest, most cost-effective, and most effective way to develop an efficient and optimal plasma process. -2-

3 Microwave Plasma Systems SU-8 Stripping Tool MA3000D-161BB: The MUEGGE SU-8 Stripping Tool MA3000D-161BB for MEMS applications is the only tool on the market which enables fast dry etching of thick SU-8 resist. The unique features of the SU-8 Stripping Tool are providing leading edge technology for dry etch of SU-8 resist: Remote microwave plasma source with unique water cooled plasma zone composed of Alumina, Sapphire, and Quartz guarantees outstanding lifetime of the plasma chamber Very high etch rate and low cost of ownership High Environmental Compliance due to very high dissociation of warming gases as CF4 and NF3. KEY BENEFITS: Pure chemical etching with no attack on the etching sample by ions; therefore high selectivity's achievable. Dense plasma excitation leads to high amount of radical generation which is necessary to start the stripping process. Remote plasma for much less thermal load in the chamber than conventional plasma MUEGGE SU-8 Stripping Tool Wave g uid e Magnetron P lasma zone MW coupling antenna Process gas inlet Plasma Chamber of pure alumina or sapphire or quartz Water cooled MW cavity View into the chamber: Working plate with 9 x 6 wafers Plasma chamber Pure radicals output Pressure range typical: Torr Remote Plasma Source (RPS) means a plasma is only generated and exists in the RPS itself, not in the process chamber Small gears after resist removal -3-

4 Microwave Plasma Systems Microwave Remote Plasma Silicon Etcher MA3000D-181BB: The new Microwave Remote Plasma Silicon Etcher from Muegge is specifically designed for Backend applications in the Semiconductors Industry. The key applications are: Stress Relief Etching after Grinding Recess Etching for the Through Silicon Via Technology (3D-integration). The MW-Remote Plasma Source (RPS), which is a downstream source, exclusively provides radicals in the process chamber and therefore a pure chemical etch process avoiding any damage by ion bombardment or thermal stress. The Radicals Displacement Unit, which leads to very homogenous etching of silicon, by simultaneous rotation and azimuth angle displacement of the fluorine radicals' beam across the silicon wafer. In the same time very high etch rates can be reached due to the unrestricted beam of radicals, which transports shortlived and highly active F*-radicals to the silicon wafer. The Vacuum Chuck enables chucking of different wafer sizes between 100 mm and 300 mm, different tape types, sawing frames and different device types as solder balls. Silicon Etcher Tool KEY BENEFITS: The combination of high etch rates, high uniformities and pure chemical etch behavior are describing the unique abilities of this tool: Vacuum Chuck, water cooled Lower thermal impact on substrate due to the lack of ion bombardment. Better isotropic kerf etch performance. No ion damage of the devices. Higher etch rates due to not existing baffle plate. View into the chamber during plasma etching -4-

5 Microwave Plasma Systems Plasma Decapsulation Tool MA3000D-151BB: Muegge's MA3000D-151BB plasma decapsulation tool has been specifically developed for the fast etching of mould compound and polyimide to open microchips without attacking the sensitive wiring. Optimized for decapsulation of microchips Short decapsulation time at gentle process conditions Very high etch rate and low cost of ownership High environmental compliance due to very high dissociation of warming gases like CF4 Removal rate approx. 200 µm per hour (incl. removal of inorganic filling materials) KEY BENEFITS: No attack on wiring (e.g. Cu and Pd - Cu materials) Slight attack of chip passivation (selectivity > 500:1) Fast, isotropic etching by radicals only No ions, no radiation, no el. fields at sample Plasma Decapsulation Tool Plasma enhanced chip decapsulation: -5-

6 Plasma Components Plasma Line - Scalable Plasma Source: The Duo-Plasmaline is a direct microwave plasma source without using a magnetic field. In a simplified description, it works like an inverse luminescent tube excited by microwaves. The Duo-Plasmaline is operated at low pressure of about 1000 Pa down to 10 Pa, typically. The microwave with a frequency of 2.45 GHz generated by two magnetrons is fed into the plasma line at both ends. A discharge ignites in the outer low pressure regime, with increasing microwave power the plasma grows from both ends along the tube, and an axially homogeneous plasma is formed. A combination of such Duo-Plasmalines can be used to obtain a two dimensional plasma array. Single sources as well as 2, 4 and 8 parallel arranged Plasmalines are available for plasma assisted surface treatment like surface activating, etching and deposition. Low pressure microwave plasma source Scalable and compact design Large area applications High plasma density High efficiency Low damage Easy adaption to customized system The Plasmaline provides unique possibilities for large area plasma treatment Schematic view of the plasma line Plasma line in operation -6-

7 Plasma Components Plasma Array - Scalable Plasma Source: A combination of Duo-Plasmalines can be used to obtain a two dimensional plasma array. Single sources as well as 2, 4 and 8 parallel arranged Plasmalines are available for plasma assisted surface treatment like surface activating, etching and deposition. The Duo-Plasmaline is a direct microwave plasma source without using a magnetic field. In a simplified description, it works like an inverse luminescent tube excited by microwaves. The Duo-Plasmaline is operated at low pressure of about 1000 Pa down to 10 Pa, typically. The microwave with a frequency of 2.45 GHz generated by two magnetrons is fed into the plasma line at both ends. A discharge ignites in the outer low pressure regime, with increasing microwave power the plasma grows from both ends along the tube, and an axially homogeneous plasma is formed. Low pressure microwave plasma source Scalable and compact design Large area applications High plasma density High efficiency Low damage Easy adaption to customized systems Plasma array -7-

8 Plasma Components Radical Plasma Source MA-Series: The RPS MA3000 series is designed for the usage at vacuum chambers. Typical process pressures are between 0.3 Torr and 5.0 Torr. A Remote Plasma Source (RPS) is defined by the fact that a plasma is only generated and existing in the RPS itself, not in the process chamber.no plasma, only radicals are reaching the process chamber. Therefore the RPS system is ideal for applications which necessarily need to avoid physical effects as ion bombardment and high thermal load.the radicals generated by the Remote Plasma Source are creating only a chemical reaction at the surface of the substrates. That is leading to extremely low thermal load and damage free etching at high rates. Unique features of the Remote Plasma Source system are providing leading edge technology in the areas of: Isotropic etching of silicon, silicon nitride, silicon oxide with superior etch rates. Photo resist stripping on different materials as silicon, silicon oxide, metals, high-k, low-k materials. Chamber Cleaning at low pressures using e.g. 2 slm 0.5 Torr. Flexible PCB's: Drilling and Desmear. Surface Treatment as Nitridation or Oxidation of silicon surfaces. Best performance in semiconductor. Backend applications as wafer thinning and stress relief. Remote Plasma Source KEY BENEFITS: unique compact design (450 x 250 x 250 mm). water cooled plasma zone. very high efficiency. high plasma density. pulse mode possible. ultra clean remote plasma source. ideal for high tech semiconductor processing. easy, cost and time saving maintenance. simple interfacing to any equipment (KF40 or ISO-K63). -8-

9 Plasma Components PLanartron - Planar Plasma Source: The Planartron is similar to a Duo-Plasmaline array. Here the parallel quartz tubes are combined to a single quartz plate which separates the normal pressure region from the low pressure zone. The microwave is fed into a meander-like configuration. By using this structure, the microwave is homogeneously fed through the quartz plate and forms a homogeneous plasma at the surface of the quartz plate in the low pressure zone. Unique features of the Planartron Source system are providing leading edge technology in the areas of: High intensity plasma directly on the substrate Isotropic etching of silicon, silicon nitride, silicon oxide with superior etch rates. Photo resist stripping on different materials as silicon, silicon oxide, metals, high-k, low-k materials. Flexible PCB's: Drilling and Desmear. Surface Treatment as Nitridation or Oxidation of silicon surfaces. Backend applications as wafer thinning and stress relief. Planartron Source system Planartron in operation -9-

10 Plasma Components Atmospheric Plasma Source: The new generation of microwave powerheads, generators and tuning elements enable compact plasma sources at atmospheric pressure for surface and volume treatment. The APS is an atmospheric plasma source based on a special designed microwave cavity. Microwaves with a frequency of 2.45 GHz are fed into the plasma source resulting in a high field concentration in the middle of the cavity. In this region, the plasma is ignited and heated. Several kilowatts can be injected into the plasma and gas temperatures up to 3500 K can be determined with optical emission spectroscopy methods. The APS is well suited for the removal of very dilute concentrations of air pollutants such as volatile organic compounds (VOC) and their fluorinated derivates (FOC), for the synthesis of special gases and for the production of nanoparticles. A new plasma source with a microwave frequency of 915 MHz is also available. This device is characterized by its high power up to 30 kw. This enables the treatment of high gas flows Atmospheric Plasma Source (APS) APS in operation

11 Plasma Components Microwave Plasma Subsystems: MUEGGE's plasma components are used in all rapidly developing plasma technology markets. Muegge's Microwave Plasma Subsystems are fully integrated and highly reliable for the costeffective generation and delivery of microwave plasmas for damage-free wafer processing. Every microwave plasma system includes a Muegge designed and built microwave power generator, which consists of a switch-mode power supply, magnetron head and isolator, and a patented Muegge plasma applicator. All Muegge microwave plasma systems are supported by a team of highly experienced plasma physicists, design engineers and application experts, who provide both process and system support. A typical microwave system is illustrated -11-

12 MUEGGE GmbH About MUEGGE GmbH: MUEGGE is the leading international manufacturer and provider of plasma source technologies, industrial microwave heating systems and the related components. For over 25 years, MUEGGE has developed, produced and supplied high-quality microwave CW and Pulse solutions for applications which require power ranges of 300 W to 100 kw at frequencies of 915 MHZ, 2450 MHz and 5800 MHZ. MUEGGE continues to develop and integrate industry leading microwave power solutions that are optimized and integrated into state-of-the-art technologies. The most demanding requirements are met by MUEGGE's advanced systems, plant, and process technologies resulting in an integrated concept that is based upon our scientific and technological experience. MUEGGE's services range from the development of process engineering and plant engineering systems to construction, assembly, plant start-ups and comprehensive customer support. Its key products include both components and plasma sources based on microwave plasma, such as a high-performance remote plasma source to create radicals (rapid reactive radicals technology) for use in the semiconductor industry. MUEGGE GMBH Hochstraße Reichelsheim Fon +49 (0) Fax +49 (0) info@muegge.de

Linear Plasma Sources for Surface Modification and Deposition for Large Area Coating

Linear Plasma Sources for Surface Modification and Deposition for Large Area Coating Linear Plasma Sources for Surface Modification and Deposition for Large Area Coating Dr Tony Williams Gencoa Ltd, UK Victor Bellido-Gonzalez, Dr Dermot Monaghan, Dr Joseph Brindley, Robert Brown SVC 2016,

More information

CYRANNUS. Welcome at iplas. See the latest developments! CYRANNUS.

CYRANNUS. Welcome at iplas. See the latest developments! CYRANNUS. Welcome at iplas. See the latest developments! High performance plasma CYlindrical Resonator with ANNUlar Slots EH-tuner from vacuum to atmosphere uniform plasma large plasma extension high power density

More information

AC : MICROWAVE PLASMA CLEANER DESIGN FOR SEMI- CONDUCTOR FABRICATION AND MATERIALS PROCESSING LABO- RATORY USE

AC : MICROWAVE PLASMA CLEANER DESIGN FOR SEMI- CONDUCTOR FABRICATION AND MATERIALS PROCESSING LABO- RATORY USE AC 2011-2416: MICROWAVE PLASMA CLEANER DESIGN FOR SEMI- CONDUCTOR FABRICATION AND MATERIALS PROCESSING LABO- RATORY USE Mustafa G. Guvench, University of Southern Maine Mustafa G. Guvench received M.S.

More information

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Metallization deposition and etching. Material mainly taken from Campbell, UCCS Metallization deposition and etching Material mainly taken from Campbell, UCCS Application Metallization is back-end processing Metals used are aluminum and copper Mainly involves deposition and etching,

More information

A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon

A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon April 2009 A Deep Silicon RIE Primer 1.0) Etching: Silicon does not naturally etch anisotropically in fluorine based chemistries. Si

More information

Plasma for Underfill Process in Flip Chip Packaging

Plasma for Underfill Process in Flip Chip Packaging Plasma for Underfill Process in Flip Chip Packaging Jack Zhao and James D. Getty Nordson MARCH 2470-A Bates Avenue Concord, California 94520-1294 USA Published by Nordson MARCH www.nordsonmarch.com 2015

More information

Supporting Information

Supporting Information Supporting Information Fast-Response, Sensitivitive and Low-Powered Chemosensors by Fusing Nanostructured Porous Thin Film and IDEs-Microheater Chip Zhengfei Dai,, Lei Xu,#,, Guotao Duan *,, Tie Li *,,

More information

Thermal Evaporation. Theory

Thermal Evaporation. Theory Thermal Evaporation Theory 1. Introduction Procedures for depositing films are a very important set of processes since all of the layers above the surface of the wafer must be deposited. We can classify

More information

UTILIZATION OF ATMOSPHERIC PLASMA SURFACE PREPARATION TO IMPROVE COPPER PLATING PROCESSES.

UTILIZATION OF ATMOSPHERIC PLASMA SURFACE PREPARATION TO IMPROVE COPPER PLATING PROCESSES. SESSION 14 MATERIALS AND PROCESSES FOR ADVANCED PACKAGING UTILIZATION OF ATMOSPHERIC PLASMA SURFACE PREPARATION TO IMPROVE COPPER PLATING PROCESSES. Eric Schulte 1, Gilbert Lecarpentier 2 SETNA Corporation

More information

Lecture Day 2 Deposition

Lecture Day 2 Deposition Deposition Lecture Day 2 Deposition PVD - Physical Vapor Deposition E-beam Evaporation Thermal Evaporation (wire feed vs boat) Sputtering CVD - Chemical Vapor Deposition PECVD LPCVD MVD ALD MBE Plating

More information

Deep Silicon Etching An Enabling Technology for Wireless Systems Segment By Carson Ogilvie and Joel Goodrich Commercial Product Solutions

Deep Silicon Etching An Enabling Technology for Wireless Systems Segment By Carson Ogilvie and Joel Goodrich Commercial Product Solutions Deep Silicon Etching An Enabling Technology for Wireless Systems Segment By Carson Ogilvie and Joel Goodrich Commercial Product Solutions Abstract The recent installation of a new etch tool, the Surface

More information

Plastic Coated Silica/Silica (Low OH) FIBER CROSS SECTION Polyimide and Acrylate Coated. Nylon and Tefzel Coated

Plastic Coated Silica/Silica (Low OH) FIBER CROSS SECTION Polyimide and Acrylate Coated. Nylon and Tefzel Coated DESCRIPTION When looking for a high quality fiber with superior transmission and a numerical aperture (N.A.) of 0.22 for efficient light coupling, the is the fiber of choice. The Anhydroguide fiber is

More information

Energy Efficient Glazing Design. John Ridealgh Off-Line Coatings Technology Group Pilkington European Technology Centre

Energy Efficient Glazing Design. John Ridealgh Off-Line Coatings Technology Group Pilkington European Technology Centre Energy Efficient Glazing Design John Ridealgh Off-Line Coatings Technology Group Pilkington European Technology Centre 2 John Ridealgh 30th November 2009 Talk Outline Pilkington Group Limited & NSG Group

More information

Plasma Activated EB-PVD of Titanium and its Compounds by Means of Large Area SAD

Plasma Activated EB-PVD of Titanium and its Compounds by Means of Large Area SAD AIMCAL 2005 Myrtle Beach, SC, USA, October 19th, 2005 Plasma Activated EB-PVD of Titanium and its Compounds by Means of Large Area SAD E. Reinhold, C. Steuer VON ARDENNE Anlagentechnik GmbH, Dresden, Germany

More information

BONDING OF MULTIPLE WAFERS FOR HIGH THROUGHPUT LED PRODUCTION. S. Sood and A. Wong

BONDING OF MULTIPLE WAFERS FOR HIGH THROUGHPUT LED PRODUCTION. S. Sood and A. Wong 10.1149/1.2982882 The Electrochemical Society BONDING OF MULTIPLE WAFERS FOR HIGH THROUGHPUT LED PRODUCTION S. Sood and A. Wong Wafer Bonder Division, SUSS MicroTec Inc., 228 SUSS Drive, Waterbury Center,

More information

Vacuum deposition of TiN

Vacuum deposition of TiN J.Lorkiewicz DESY.27.10.02 Vacuum deposition of TiN (TiN coating of high power coupler elements as an anti-multipactor remedy at DESY) The scope of the project: - reducing secondary electron emission and

More information

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

Semiconductor Manufacturing Technology. IC Fabrication Process Overview Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 00 by Prentice Hall Chapter 9 IC Fabrication Process Overview /4 Objectives After studying the material in this chapter, you

More information

Managing Anode Effects and Substrate Heating from Rotatable Sputter Targets

Managing Anode Effects and Substrate Heating from Rotatable Sputter Targets Managing Anode Effects and Substrate Heating from Rotatable Sputter Targets Frank Papa*, Dermot Monaghan**, Victor Bellido- González**, and Alex Azzopardi** *Gencoa Technical & Business Support in US,

More information

AC Reactive Sputtering with Inverted Cylindrical Magnetrons

AC Reactive Sputtering with Inverted Cylindrical Magnetrons AC Reactive Sputtering with Inverted Cylindrical Magnetrons D.A. Glocker, Isoflux Incorporated, Rush, NY; and V.W. Lindberg and A.R. Woodard, Rochester Institute of Technology, Rochester, NY Key Words:

More information

Plasma-Enhanced Chemical Vapor Deposition

Plasma-Enhanced Chemical Vapor Deposition Plasma-Enhanced Chemical Vapor Deposition Steven Glenn July 8, 2009 Thin Films Lab 4 ABSTRACT The objective of this lab was to explore lab and the Applied Materials P5000 from a different point of view.

More information

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation. Figure 2.1 (p. 58) Basic fabrication steps in the silicon planar process: (a) oxide formation, (b) selective oxide removal, (c) deposition of dopant atoms on wafer, (d) diffusion of dopant atoms into exposed

More information

Silicon Wafer Processing PAKAGING AND TEST

Silicon Wafer Processing PAKAGING AND TEST Silicon Wafer Processing PAKAGING AND TEST Parametrical test using test structures regularly distributed in the wafer Wafer die test marking defective dies dies separation die fixing (not marked as defective)

More information

Surface micromachining and Process flow part 1

Surface micromachining and Process flow part 1 Surface micromachining and Process flow part 1 Identify the basic steps of a generic surface micromachining process Identify the critical requirements needed to create a MEMS using surface micromachining

More information

Amorphous Silicon Solar Cells

Amorphous Silicon Solar Cells The Birnie Group solar class and website were created with much-appreciated support from the NSF CRCD Program under grants 0203504 and 0509886. Continuing Support from the McLaren Endowment is also greatly

More information

Microstructures using RF sputtered PSG film as a sacrificial layer in surface micromachining

Microstructures using RF sputtered PSG film as a sacrificial layer in surface micromachining Sādhanā Vol. 34, Part 4, August 2009, pp. 557 562. Printed in India Microstructures using RF sputtered PSG film as a sacrificial layer in surface micromachining VIVEKANAND BHATT 1,, SUDHIR CHANDRA 1 and

More information

Chips Face-up Panelization Approach For Fan-out Packaging

Chips Face-up Panelization Approach For Fan-out Packaging Chips Face-up Panelization Approach For Fan-out Packaging Oct. 15, 2015 B. Rogers, D. Sanchez, C. Bishop, C. Sandstrom, C. Scanlan, TOlson T. REV A Background on FOWLP Fan-Out Wafer Level Packaging o Chips

More information

3D technologies for integration of MEMS

3D technologies for integration of MEMS 3D technologies for integration of MEMS, Fraunhofer Institute for Electronic Nano Systems Folie 1 Outlook Introduction 3D Processes Process integration Characterization Sample Applications Conclusion Folie

More information

Fabrication Technology

Fabrication Technology Fabrication Technology By B.G.Balagangadhar Department of Electronics and Communication Ghousia College of Engineering, Ramanagaram 1 OUTLINE Introduction Why Silicon The purity of Silicon Czochralski

More information

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material on any substrate (in principal) Start with pumping down

More information

"Plasma CVD passivation; Key to high efficiency silicon solar cells",

Plasma CVD passivation; Key to high efficiency silicon solar cells, "Plasma CVD passivation; Key to high efficiency silicon solar cells", David Tanner Date: May 7, 2015 2012 GTAT Corporation. All rights reserved. Summary: Remarkable efficiency improvements of silicon solar

More information

Optical Coatings. Photonics 4 Luxury Coatings , Genève. Dr. Andreas Bächli Head of Optical Coatings at RhySearch, Buchs (SG)

Optical Coatings. Photonics 4 Luxury Coatings , Genève. Dr. Andreas Bächli Head of Optical Coatings at RhySearch, Buchs (SG) Optical Coatings Photonics 4 Luxury Coatings 21.06.2017, Genève Dr. Andreas Bächli Head of Optical Coatings at RhySearch, Buchs (SG) RhySearch The Research- and Innovation Center in the Rhine Valley RhySearch

More information

Alternative Methods of Yttria Deposition For Semiconductor Applications. Rajan Bamola Paul Robinson

Alternative Methods of Yttria Deposition For Semiconductor Applications. Rajan Bamola Paul Robinson Alternative Methods of Yttria Deposition For Semiconductor Applications Rajan Bamola Paul Robinson Origin of Productivity Losses in Etch Process Aggressive corrosive/erosive plasma used for etch Corrosion/erosion

More information

Laser Micromachining for Industrial Applications and R&D. 3D-Micromac AG. Symposium on Smart Integrated Systems in Chemnitz. 3D-Micromac AG

Laser Micromachining for Industrial Applications and R&D. 3D-Micromac AG. Symposium on Smart Integrated Systems in Chemnitz. 3D-Micromac AG 3D-Micromac AG Symposium on Smart Integrated Systems in Chemnitz 1 1 microdice - TLS-Dicing for separation of SiC 2 microprep - for high-throughput microstructure diagnostics 3 About 3D-Micromac AG 2 microdice

More information

High performance radio frequency generator technology for the Thermo Scientific icap 7000 Plus Series ICP-OES

High performance radio frequency generator technology for the Thermo Scientific icap 7000 Plus Series ICP-OES TECHNICAL NOTE 43334 High performance radio frequency generator technology for the Thermo Scientific icap 7000 Plus Series ICP-OES Keywords Free-running, Plasma, RF generator, Solid-state Using inductively

More information

PDF created with FinePrint pdffactory Pro trial version

PDF created with FinePrint pdffactory Pro trial version Benefits Damage-free dicing of thin silicon Capable of producing smallest street widths which in return provides additional space for more parts per wafer Single step process results in reduction of cost

More information

High Efficiency UV LEDs Enabled by Next Generation Substrates. Whitepaper

High Efficiency UV LEDs Enabled by Next Generation Substrates. Whitepaper High Efficiency UV LEDs Enabled by Next Generation Substrates Whitepaper Introduction A primary industrial market for high power ultra-violet (UV) LED modules is curing equipment used for drying paints,

More information

Pioneering ALD experience since The ALD Powerhouse PRODUCT CATALOGUE FOR SUNALE P-SERIES ALD SYSTEMS

Pioneering ALD experience since The ALD Powerhouse PRODUCT CATALOGUE FOR SUNALE P-SERIES ALD SYSTEMS Pioneering ALD experience since 1974 The ALD Powerhouse PRODUCT CATALOGUE FOR SUNALE P-SERIES ALD SYSTEMS SUNALE P-SERIES ALD SYSTEMS PRODUCT CATALOGUE Description SUNALE P-series ALD system SUNALE P-series

More information

Hydrophilic Modification of Plastic Surface by Using Microwave Plasma Irradiation

Hydrophilic Modification of Plastic Surface by Using Microwave Plasma Irradiation Hydrophilic Modification of Plastic Surface by Using Microwave Plasma Irradiation YOSHIHISA Kumiko : Advanced Applied Science Department, Research Laboratory, Corporate Research & Development YOSHIMURA

More information

PCB Technologies for LED Applications Application note

PCB Technologies for LED Applications Application note PCB Technologies for LED Applications Application note Abstract This application note provides a general survey of the various available Printed Circuit Board (PCB) technologies for use in LED applications.

More information

Ultra Fine Pitch Bumping Using e-ni/au and Sn Lift-Off Processes

Ultra Fine Pitch Bumping Using e-ni/au and Sn Lift-Off Processes Ultra Fine Pitch Bumping Using e-ni/au and Sn Lift-Off Processes Andrew Strandjord, Thorsten Teutsch, and Jing Li Pac Tech USA Packaging Technologies, Inc. Santa Clara, CA USA 95050 Thomas Oppert, and

More information

ME 189 Microsystems Design and Manufacture. Chapter 9. Micromanufacturing

ME 189 Microsystems Design and Manufacture. Chapter 9. Micromanufacturing ME 189 Microsystems Design and Manufacture Chapter 9 Micromanufacturing This chapter will offer an overview of the application of the various fabrication techniques described in Chapter 8 in the manufacturing

More information

Gridless end-hall. Ion Sources. For Ion Assisted Thin Film Deposition & Substrate Cleaning

Gridless end-hall. Ion Sources. For Ion Assisted Thin Film Deposition & Substrate Cleaning Gridless end-hall Ion Sources For Ion Assisted Thin Film Deposition & Substrate Cleaning End-Hall Ion Sources mark I Ion Source The Mark I End-Hall is ideal for small research and development and pilot

More information

High Rate low pressure PECVD for barrier and optical coatings

High Rate low pressure PECVD for barrier and optical coatings High Rate low pressure PECVD for barrier and optical coatings, Matthias Fahland, John Fahlteich, Björn Meyer, Steffen Straach, Nicolas Schiller Outline Introduction PECVD New developments magpecvd arcpecv

More information

Enabling Technology in Thin Wafer Dicing

Enabling Technology in Thin Wafer Dicing Enabling Technology in Thin Wafer Dicing Jeroen van Borkulo, Rogier Evertsen, Rene Hendriks, ALSI, platinawerf 2G, 6641TL Beuningen Netherlands Abstract Driven by IC packaging and performance requirements,

More information

Performance, Reliability, and Versatility. Transpector CPM. Fast, Field-ready Process Monitoring System

Performance, Reliability, and Versatility. Transpector CPM. Fast, Field-ready Process Monitoring System Performance, Reliability, and Versatility Transpector CPM Fast, Field-ready Process Monitoring System Precision measurement for modern semiconductor processes INFICON Transpector CPM has been the films.

More information

Ultra High Barrier Coatings by PECVD

Ultra High Barrier Coatings by PECVD Society of Vacuum Coaters 2014 Technical Conference Presentation Ultra High Barrier Coatings by PECVD John Madocks & Phong Ngo, General Plasma Inc., 546 E. 25 th Street, Tucson, Arizona, USA Abstract Silicon

More information

FABRICATION PROCESSES FOR MAGNETIC MICROACTUATORS WITH POLYSILICON FLEXURES. Jack W. Judy and Richard S. Muller

FABRICATION PROCESSES FOR MAGNETIC MICROACTUATORS WITH POLYSILICON FLEXURES. Jack W. Judy and Richard S. Muller FABRICATION PROCESSES FOR MAGNETIC MICROACTUATORS WITH POLYSILICON FLEXURES Jack W. Judy and Richard S. Muller Berkeley Sensor & Actuator Center (BSAC) Department of EECS, University of California, Berkeley,

More information

Special Electrically Resistant Heated Furnaces

Special Electrically Resistant Heated Furnaces Special Electrically Resistant Heated Furnaces Drying of Ceramic Components Autor: Roland Waitz, Malte Möller Many ceramic masses are fabricated in plastic or liquid condition by addition of water. In

More information

Transactions on Engineering Sciences vol 2, 1993 WIT Press, ISSN

Transactions on Engineering Sciences vol 2, 1993 WIT Press,  ISSN A study of thin-film continuous coating process by vapour deposition P. Gimondo," F. Arezzo,* B. Grifoni,* G. Jasch& "Centra Sviluppo Materiali SpA, Via di Castel & Von Ardenne Anlagentchnik GmbH, Plattleite

More information

SEMICONDUCTOR INDUSTRY EHS

SEMICONDUCTOR INDUSTRY EHS SEMICONDUCTOR INDUSTRY EHS AGENDA CURRENT & FUTURE ISSUES POINT-OF-USE (POU) DEVICE INFORMATION EXPECTATIONS FOR POU DEVICE SUPPLIERS PFC S (GLOBAL WARMING) IN APRIL, 1999, THE WORLD SEMICONDUCTOR COUNCIL

More information

Galvanic Metallisation of CFRP devices

Galvanic Metallisation of CFRP devices 5th International CFK-Valley Stade Convention 7. 8. June 2011, Stadeum Stade, Germany A. Dietz, G. Klumpp, H.-J- Kramer Fraunhofer IST, Braunschweig C. Haas Astrium GmbH Friedrichshafen Overview GMES -

More information

Advanced Sensor Fabrication Using Integrated Ion Beam Etch and Ion Beam Deposition Processes

Advanced Sensor Fabrication Using Integrated Ion Beam Etch and Ion Beam Deposition Processes Advanced Sensor Fabrication Using Integrated Ion Beam Etch and Ion Beam Deposition Processes Jhon F. Londoño, Kurt E. Williams, Adrian J. Devasahayam Veeco Instruments Inc. Plainview, New York U.S.A Figure

More information

PROVIDER OF BREAKTHROUGH TECHNOLOGY, PROCESSES AND EQUIPMENT FOR ENGINEERED SUBSTRATE SOLUTIONS. ...

PROVIDER OF BREAKTHROUGH TECHNOLOGY, PROCESSES AND EQUIPMENT FOR ENGINEERED SUBSTRATE SOLUTIONS. ... SEMICONDUCTOR SOLAR DISPLAY OPTOELECTRONIC PROVIDER OF BREAKTHROUGH TECHNOLOGY, PROCESSES AND EQUIPMENT FOR ENGINEERED SUBSTRATE SOLUTIONS........... A Look at Silicon Genesis 1997 Founded as a fabless

More information

Using a standard Penning Gauge as a powerful means of monitoring and feedback control

Using a standard Penning Gauge as a powerful means of monitoring and feedback control Gencoa - Dermot Monaghan Using a standard Penning Gauge as a powerful means of monitoring and feedback control Victor Bellido-González, Sarah Powell, Benoit Daniel, John Counsell, Dermot Monaghan Structure

More information

PRIREV. ZI Vagos, lote

PRIREV. ZI Vagos, lote 2017 PRIREV We are the only Portuguese company that provides Decorative PVD services in several business areas. Our mission is make our partners more competitive in their markets with higher added value

More information

Surface Micromachining

Surface Micromachining Surface Micromachining Outline Introduction Material often used in surface micromachining Material selection criteria in surface micromachining Case study: Fabrication of electrostatic motor Major issues

More information

Technology. Semiconductor Manufacturing. Hong Xiao INTRODUCTION TO SECOND EDITION SPIE PRESS

Technology. Semiconductor Manufacturing. Hong Xiao INTRODUCTION TO SECOND EDITION SPIE PRESS INTRODUCTION TO Semiconductor Manufacturing Technology SECOND EDITION Hong Xiao TECHNISCHE INFORMATIONSBiBUOTHEK UNIVERSITATSBIBLIOTHEK HANNOVER SPIE PRESS Bellingham,Washington USA Contents Preface to

More information

V I S H AY I N T E R T E C H N O L O G Y, I N C. Vishay Electro-Films. w w w. v i s h a y. c o m

V I S H AY I N T E R T E C H N O L O G Y, I N C. Vishay Electro-Films. w w w. v i s h a y. c o m V I S H AY I N T E R T E C H N O L O G Y, I N C. Pattern Substrates Using Thin Film Technology Vishay Electro-Films Application-Specific Pattern Substrates P r e c i s i o n R e s i s t o r s C a p a b

More information

Smart Integration of Thermal Management Systems for Electronics Cooling

Smart Integration of Thermal Management Systems for Electronics Cooling Smart Integration of Thermal Management Systems for Electronics Cooling Dr. Ir. Wessel W. Wits, University of Twente, Faculty of Engineering Technology, Laboratory of Design, Production and Management,

More information

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate Development of Sidewalls Passivating Films Sidewalls get inert species deposited on them with plasma etch Creates

More information

The most important parameters determining the performance of a cutting blade are:

The most important parameters determining the performance of a cutting blade are: Diamond blades exceptionally wear resistant and extremely sharp P. Gluche 1, S. Strobel 1, H.-J. Fecht 2 1 GFD Gesellschaft für Diamantprodukte mbh, Lise-Meitner-Str. 13, 89081 Ulm, Germany 2 University

More information

PULSED LASER WELDING

PULSED LASER WELDING PULSED LASER WELDING Girish P. Kelkar, Ph.D. Girish Kelkar, Ph.D, WJM Technologies, Cerritos, CA 90703, USA Laser welding is finding growing acceptance in field of manufacturing as price of lasers have

More information

Industrial Diamonds: Present and the Future

Industrial Diamonds: Present and the Future M.Sc Miroljub Vilotijevic Dr. Borislav Dacic Industrial Diamonds: Present and the Future Three resorting qualities of diamond available today for industrial use are: natural diamond (i), synthetic diamond

More information

Low temperature deposition of thin passivation layers by plasma ALD

Low temperature deposition of thin passivation layers by plasma ALD 1 Low temperature deposition of thin passivation layers by plasma ALD Bernd Gruska, SENTECH Instruments GmbH, Germany 1. SENTECH in brief 2. Low temperature deposition processes 3. SENTECH SI ALD LL System

More information

Leveraging the Precision of Electroforming over Alternative Processes When Developing Nano-scale Structures

Leveraging the Precision of Electroforming over Alternative Processes When Developing Nano-scale Structures VOLUME 4 - ELECTROFORMING Leveraging the Precision of over Alternative Processes When Developing Nano-scale Structures Electrical and mechanical component and subsystem designers generally have five techniques

More information

Roll-to-roll Technology for Transparent High Barrier Films

Roll-to-roll Technology for Transparent High Barrier Films Roll-to-roll Technology for Transparent High Barrier Films Presented at the AIMCAL Fall Technical Conference, October 19-22, 2008, Myrtle Beach, SC, USA Nicolas Schiller, John Fahlteich, Matthias Fahland,

More information

LOW TEMPERATURE PHOTONIC SINTERING FOR PRINTED ELECTRONICS. Dr. Saad Ahmed XENON Corporation November 19, 2015

LOW TEMPERATURE PHOTONIC SINTERING FOR PRINTED ELECTRONICS. Dr. Saad Ahmed XENON Corporation November 19, 2015 LOW TEMPERATURE PHOTONIC SINTERING FOR PRINTED ELECTRONICS Dr. Saad Ahmed XENON Corporation November 19, 2015 Topics Introduction to Pulsed Light Photonic sintering for Printed Electronics R&D Tools for

More information

COOLING EFFECT ENHANCEMENT IN MAGNETRON SPUTTERING SYSTEM

COOLING EFFECT ENHANCEMENT IN MAGNETRON SPUTTERING SYSTEM Fifth International Conference on CFD in the Process Industries CSIRO, Melbourne, Australia 13-15 December 2006 COOLING EFFECT ENHANCEMENT IN MAGNETRON SPUTTERING SYSTEM Jae-Sang BAEK and Youn J. KIM*

More information

Atomic Oxygen Detection by Silver-Coated Quartz Deposition Monitor *

Atomic Oxygen Detection by Silver-Coated Quartz Deposition Monitor * SLAC - PUB - 5015 June 1989 (A> Atomic Oxygen Detection by Silver-Coated Quartz Deposition Monitor * V. Matijasevic Department of Physics, Stanford University, Stanford, CA 94305 E. L. Garwin Stanford

More information

Process Flow in Cross Sections

Process Flow in Cross Sections Process Flow in Cross Sections Process (simplified) 0. Clean wafer in nasty acids (HF, HNO 3, H 2 SO 4,...) --> wear gloves! 1. Grow 500 nm of SiO 2 (by putting the wafer in a furnace with O 2 2. Coat

More information

PROCESSING OF INTEGRATED CIRCUITS

PROCESSING OF INTEGRATED CIRCUITS PROCESSING OF INTEGRATED CIRCUITS Overview of IC Processing (Part I) Silicon Processing Lithography Layer Processes Use in IC Fabrication (Part II) Integrating the Fabrication Steps IC Packaging (Part

More information

Visit

Visit Practical Applications for Nano- Electronics by Vimal Gopee E-mail: Vimal.gopee@npl.co.uk 10/10/12 Your Delegate Webinar Control Panel Open and close your panel Full screen view Raise hand for Q&A at the

More information

Applications of High-Performance MEMS Pressure Sensors Based on Dissolved Wafer Process

Applications of High-Performance MEMS Pressure Sensors Based on Dissolved Wafer Process Applications of High-Performance MEMS Pressure Sensors Based on Dissolved Wafer Process Srinivas Tadigadapa and Sonbol Massoud-Ansari Integrated Sensing Systems (ISSYS) Inc., 387 Airport Industrial Drive,

More information

Turn-key Production System for Solar Cells

Turn-key Production System for Solar Cells SOLARE Turn-key Production System for Solar Cells 02 Innovations for New Technologies provides technology solutions for both crystalline and thin-film highperformance solar cell platforms. Our production

More information

Filling and Planarizing Deep Trenches with Polymeric Material for Through-Silicon Via Technology

Filling and Planarizing Deep Trenches with Polymeric Material for Through-Silicon Via Technology Filling and Planarizing Deep Trenches with Polymeric Material for Through-Silicon Via Technology R.K. Trichur, M. Fowler, J.W. McCutcheon, and M. Daily Brewer Science, Inc. 2401 Brewer Drive Rolla, MO

More information

Dicing of Ultra-thin Silicon Wafers

Dicing of Ultra-thin Silicon Wafers Dicing of Ultra-thin Silicon Wafers Hans H. Gatzen, Gerald Guenzel Institute for Microtechnology, Hanover University, Germany Keywords: dicing, ultra thin wafers, silicon, chipping, machining Abstract:

More information

Analysis of Stainless Steel by Dual View Inductively Coupled Plasma Spectrometry

Analysis of Stainless Steel by Dual View Inductively Coupled Plasma Spectrometry Application Note - AN1505 Analysis of Stainless Steel by Dual View Inductively Coupled Plasma Spectrometry Introduction Page 1 Stainless steels are a corrosion resistant family of iron alloys that have

More information

Thermal Model and Control of Metal-Organic Chemical Vapor Deposition Process

Thermal Model and Control of Metal-Organic Chemical Vapor Deposition Process Thermal Model and Control of Metal-Organic Chemical Vapor Deposition Process Jon L. Ebert, Sarbajit Ghosal, and Narasimha Acharya SC Solutions, Inc. 1261 Oakmead Pkwy., Sunnyvale, CA 94085. jle@acsolutions.com

More information

MODEL 1051 TEM Mill ION MILLING. Ion milling is used on physical science. specimens to reduce thickness to electron

MODEL 1051 TEM Mill ION MILLING. Ion milling is used on physical science. specimens to reduce thickness to electron MODEL 1051 TEM Mill A state-of-the-art ion milling and polishing system offering reliable, high performance specimen preparation. It is compact, precise, and consistently produces high-quality transmission

More information

QINEO PULSE. The versatile welding machine for industry

QINEO PULSE. The versatile welding machine for industry QINEO PULSE The versatile welding machine for industry Some ideas set the trend for future times. PULSE 2 CLOOS: Your brand for innovative welding technology! Providing added value for our customers! This

More information

EUV Source Supplier Update, Gigaphoton

EUV Source Supplier Update, Gigaphoton EUV Source Supplier Update, Gigaphoton Hakaru Mizoguchi EUV Source Workshop 6 May, 2007 Baltimore, MD, USA Acknowledgments A part of this work was performed under the management of EUVA in the NEDO's R&D

More information

MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices

MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices Hitachi Review Vol. 57 (2008), No. 3 127 MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices Tadashi Terasaki Masayuki Tomita Katsuhiko Yamamoto Unryu Ogawa, Dr. Eng. Yoshiki Yonamoto,

More information

Quantitative thickness measurement of dual layer materials using X-ray absorption-based technique

Quantitative thickness measurement of dual layer materials using X-ray absorption-based technique SIMTech technical reports Volume 8 Number 1 Jan - Mar 7 Quantitative thickness measurement of dual layer materials using X-ray absorption-based technique L. M. Sim and A. C. Spowage Abstract Gray levels

More information

Vacuum Arc Remelting (VAR)

Vacuum Arc Remelting (VAR) ALD Vacuum Technologies High Tech is our Business Vacuum Arc Remelting (VAR) Vacuum Arc Remelting Processes and Furnaces VAR Vacuum Arc Remelting (VAR) ALD is one of the leading suppliers of vacuum melting

More information

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005 3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005 1) This is an open book, take-home quiz. You are not to consult with other class members or anyone else. You may discuss the

More information

Experimental study of plasma window 1*

Experimental study of plasma window 1* Submitted to Chinese Physics C' Experimental study of plasma window 1* SHI Ben-Liang( 史本良 ), HUANG Sheng( 黄胜 ), ZHU Kun( 朱昆 ) 1), LU Yuan-Rong( 陆元荣 ) State Key Laboratory of Nuclear Physics and Technology,

More information

Advanced Analytical Techniques for Semiconductor Assembly Materials and Processes. Jason Chou and Sze Pei Lim Indium Corporation

Advanced Analytical Techniques for Semiconductor Assembly Materials and Processes. Jason Chou and Sze Pei Lim Indium Corporation Advanced Analytical Techniques for Semiconductor Assembly Materials and Processes Jason Chou and Sze Pei Lim Indium Corporation Agenda Company introduction Semiconductor assembly roadmap challenges Fine

More information

A Survey of Laser Types. Gas Lasers

A Survey of Laser Types. Gas Lasers Mihail Pivtoraiko Andrei Rozhkov Applied Optics Winter 2003 A Survey of Laser Types Laser technology is available to us since 1960 s, and since then has been quite well developed. Currently, there is a

More information

Author: Anthony Jones Presented by: Sarah Gibson

Author: Anthony Jones Presented by: Sarah Gibson Unique Industrial Hygiene Aspects in Gallium Arsenide Device Manufacturing Facilities Author: Anthony Jones Presented by: Sarah Gibson Outline Gallium arsenide overview Various operations IH issues MOCVD

More information

Device Fabrication: Metallization

Device Fabrication: Metallization Device Fabrication: Metallization 1 Applications: Interconnection 2 Q & A Can we reduce all dimensions of metal interconnection line at the same ratio? R= l/wh. When we shrink all dimensions (length l,

More information

Fluxless soldering using Electron Attachment (EA) Technology

Fluxless soldering using Electron Attachment (EA) Technology Fluxless soldering using Electron Attachment (EA) Technology Proprietary, patented innovation for wafer level packaging applications including wafer bump and copper pillar reflow. Air Products has partnered

More information

The 3D Silicon Leader

The 3D Silicon Leader The 3D Silicon Leader TSV technology embedding high density capacitors for advanced 3D packaging solutions IMAPS Device Packaging Conference 2014 Catherine Bunel 2014.03.12 Outline Introduction IPDiA s

More information

MRSI-175Ag Epoxy Dispenser

MRSI-175Ag Epoxy Dispenser MRSI-175Ag Epoxy Dispenser Applications: Microwave & RF Modules MEMS Semiconductor Packaging Multi-Chip Modules Hybrid Circuits Optical Modules Overview The MRSI-175Ag Conductive Epoxy Dispenser handles

More information

BOROFLOAT & Glass Wafers: A Union of Inspiration & Quality

BOROFLOAT & Glass Wafers: A Union of Inspiration & Quality Home Tech SCHOTT North America, Inc. 553 Shepherdsville Road Louisville, KY 4228 USA Phone: +1 (52) 657-4417 Fax: +1 (52) 966-4976 Email: borofloat@us.schott.com www.us.schott.com/borofloat/wafer BOROFLOAT

More information

Mater. Res. Soc. Symp. Proc. Vol Materials Research Society

Mater. Res. Soc. Symp. Proc. Vol Materials Research Society Mater. Res. Soc. Symp. Proc. Vol. 940 2006 Materials Research Society 0940-P13-12 A Novel Fabrication Technique for Developing Metal Nanodroplet Arrays Christopher Edgar, Chad Johns, and M. Saif Islam

More information

Introduction of CSC Pastes

Introduction of CSC Pastes Introduction of CSC Pastes Smart Phones & Conductive Pastes Chip Varistors Chip Inductors LC Filters Flexible Printed Circuit Boards Electronic Molding Compounds ITO Electrodes PCB Through Holes Semiconductor

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

Oxide Growth. 1. Introduction

Oxide Growth. 1. Introduction Oxide Growth 1. Introduction Development of high-quality silicon dioxide (SiO2) has helped to establish the dominance of silicon in the production of commercial integrated circuits. Among all the various

More information

Procese de depunere in sistemul Plasma Enhanced Chemical Vapor Deposition (PECVD)

Procese de depunere in sistemul Plasma Enhanced Chemical Vapor Deposition (PECVD) Procese de depunere in sistemul Plasma Enhanced Chemical Vapor Deposition (PECVD) Ciprian Iliescu Conţinutul acestui material nu reprezintă in mod obligatoriu poziţia oficială a Uniunii Europene sau a

More information

SILICON carbide (SiC) is one of the attractive wide band

SILICON carbide (SiC) is one of the attractive wide band 1362 IEEE TRANSACTIONS ON PLASMA SCIENCE, VOL. 32, NO. 3, JUNE 2004 Magnetically Enhanced Inductively Coupled Plasma Etching of 6H-SiC D. W. Kim, H. Y. Lee, S. J. Kyoung, H. S. Kim, Y. J. Sung, S. H. Chae,

More information