Despite Hype, Atomic Layer Deposition Shows Promise

Size: px
Start display at page:

Download "Despite Hype, Atomic Layer Deposition Shows Promise"

Transcription

1 Research Brief Despite Hype, Atomic Layer Deposition Shows Promise Abstract: Surrounded by hype, ALD is emerging as a promising new deposition technology for both dielectric and metal films, with applications for gate and capacitor dielectric and metal liner/barrier. By Dean W. Freeman Recommendations CVD companies should have ALD development programs already in place to address the near-term ALD requirements. Companies marketing ALD tools will need to be patient; because ALD tools are replacing conventional technology, the market may be slower to adapt ALD technology. To address both market applications, companies must have a dielectric and a metal ALD program. Publication Date:November 20, 2002

2 2 Despite Hype, Atomic Layer Deposition Shows Promise Introduction Atomic layer deposition (ALD) is emerging as a hot new technology surrounded by a great deal of hype. While hype creates great news stories (and Research Briefs), it is not effective in the long run in selling semiconductor process equipment. Why has so much hype been created around ALD, a technology that is so old that the original patents covering it have expired? The answer could be because it offers some unique deposition solutions for semiconductor manufacturing. As the industry continues to shrink the dimensions of the semiconductor devices, the film thickness also needs to decrease. Material changes are also taking place. In many cases, because of the aspect ratio or the need for a specific material composition, conventional chemical vapor deposition (CVD) is no longer capable of meeting the requirements. In these cases, ALD might be the solution. ALD Applications ALD is a form of CVD. The unique point about ALD is that the film can be deposited a monolayer at a time. This unique deposition capability is in part what all the hype is about. Not only can the film be deposited very precisely one layer at a time, but it is also possible to create composite structures, thus creating films with specific characteristics for certain applications. The deposition mechanism of ALD is fairly simple. First the deposition gas is flowed over the wafer surface; then the gas absorbs onto thesurfaceofthewafer.oncethewaferisuniformlycovered,thegasis shut off, and a purge gas cleanses the chamber. Then a second reactive gas species is introduced to the chamber. After the gas has formed a monolayer on the active sites, the chamber is purged, and then you have your first layer of deposition. The deposition process can be performed at a lower temperature yet still achieve very good film quality. Using the ALD process, either a homogeneous or a composite film can be deposited just by managing the deposition and purge cycles. In the semiconductor manufacturing space, there are presently two primary applications for ALD. The first is in the area of dielectric deposition; the other is in the area of metal barrier, or metal liner deposition. The deposition of thin dielectrics has generated a great deal of excitement and energy around ALD. The semiconductor industry is approaching a point at which the gate dielectric will be about three to five atoms thick. The silicon dioxides and the nitrided derivatives that are currently used for the gate lose their electrical effectiveness at a thickness of less than 9 to 12 nanometers (nm). Alternative dielectrics, known as high-k dielectrics, are one solution for thinning gate oxides. High-k dielectrics can also be usedforthecapacitorindramsandothermemorydevices.thehigher dielectric constant enables a thicker film to be deposited, thus reducing some of the performance problems caused by thin silicon dioxide films. The leading candidates being considered are Hf 2 O 3,ZrO,Al 2 O 3 and their

3 composites. Other materials are also being considered for both the gate and capacitor applications. Another dielectric application is in the area of thin film heads. Gartner Dataquest does not actively follow the thin film head equipment segment, so any estimates for the ALD forecast will not include this segment (see "High-k Dielectrics May Be Ready for Prime Time" [SEMC-WW-DP-0137]). The other major application is for metal deposition. The capability to deposit monolayers of either metal organic or metal halides has enabled the deposition of very thin, very pure films of titanium nitride (TiN) or tantalum nitride for use as the metal liner and barrier layers. The capabilities of ALD have been put to use enhancing the deposition of the tungsten plugs. A thin seed layer of tungsten is deposited using an ALDtype technique, followed by the tungsten plug. The thin seed layer is supposed to assist in a more conformal deposition, thereby reducing issues with voiding and seam formation in the tungsten plugs. In using ALD as the metal liner/barrier, it has been proposed that the ALD liner/barrier may extend the life of physical vapor deposition (PVD) because the conformal liner/barrier reduces the amount of overhang, enabling a more conformal seed or metal fill to take place. Some results suggest that PVD could be extended to 65-nm technology for the copper seed layer. Liner barrier layers that ALD could make possible are tungsten nitride and tungsten carbide nitride. These materials are being considered for liner barrier applications with porous low-k films. ALD also has the possibility of allowing a copper seed layer to be deposited using CVD in place of the current sputter technology. The problem with CVD copper is adhesion, which leads to peeling and poor film quality. It is possible that with the deposition mechanism of ALD, a higher-quality film could be deposited. Such an approach could be extremely advantageous at the sub-45-nm nodes, where barrier and seed thickness will play a more critical role in the contact resistance and the resistance in the via and trench. Some early data suggest that both line and via resistance achieve a marked improvement when using an ALD liner/barrier. There are two different camps regarding the optimal method of ALD deposition. The first method is the one described earlier; it uses thermal and chemical reaction mechanisms to grow the film. A second technique being explored is pulsed plasma. This technique still uses the surface of the substrate to provide the sticking or adhesion sites, but it also uses plasma to enhance or speed up the deposition process. While these are single-wafer techniques, Torrex is offering a mini-batch CVD tool that is capable of 25 wafer loads using the conventional ALD pulse and purge techniques. 3

4 4 Despite Hype, Atomic Layer Deposition Shows Promise Companies Participating in the Market While the term "ALD" makes the process sound exotic, ALD is really just an extension of CVD. As a result, any company that has a background in CVD is likely to have an ALD offering at some point. While not all companies have announced a product offering, dielectric and metal tool products from these vendors are likely to be on the market soon: Dielectric Genus, ASMI, ASML, Jusung, Torrex, TEL and Kokusi Metal Applied Materials, Novellus, Jusung and TEL As the market grows, other companies will likely enter and address both sides of the market, depending on their business model. ALD: Hype or Reality? With little positive industry news over the past two years, ALD has managed to catch a lot of attention as a new hot growth opportunity. While ALD has the potential to grow into a good-size market, it could take several years before the entire semiconductor market embraces the technology. The ALD market will also be phased in over several years as each technology requirement comes of age. The first major application will be the use of high-k materials as the capacitor dielectric in DRAM. Films such as tantalum oxide and Hf 2 O 3 have been in production for a few years. As 1Gb DRAM rolls out, the capacitor dielectric will likely be of a high-k material. The next applications are also in DRAM; however, these are metal films. TiN is used as the electrode in the DRAM capacitor. Another application is the tungsten seed layer discussed earlier. These applications will start in earnest with the introduction of 1Gb DRAM and will continue to ramp as other memory applications begin to incorporate the technology. Another likely application is the adoption of the metal liner/barrier at 65 nm, at the first and second metal layers. Some debate is taking place over whether ALD will be economical at these film thicknesses. Some groups suggest that the pulsed plasma technique will win out at this technology node because it has the capability to grow films faster. The conventional ALD groups are designing the chambers and gas delivery to optimize the throughput of the conventional tools. Others are also working hard to ensure that sputtered tools will still be the system of choice. The key to which technology wins will be a combination of how the device performs and the cost of ownership. Some ALD data suggest improvements in both the via and line resistance of the copper. If this trend holds true in production, there may be some advantages of one deposition technique over the other when it comes to device performance and reliability. If by chance conventional ALD can provide a reliability improvement and a performance boost, then full adoption at 65 nm would be likely, with the possibility of some applications at 90 nm.

5 The last technology that will implement ALD will be high k for gate applications. While some of these films show a great deal of promise, many integration issues with the interface between the high-k material and the silicon remain. Until these are resolved, other methods of dealing with the gate leakage will be implemented. Although some low-power devices will implement high k at 65 nm, widespread implementation is unlikely until 45 nm and beyond. So, is ALD a hot new application? In some ways, it is. The capability to deposit composite high-k materials and conformal thin liner/barrier layers makes ALD a true technology enabler. Is the ALD market set to skyrocket? That is unlikely. Adoption of the processes will begin at sub-100 nm and continue to grow as technology nodes shrink. In our July 2002 forecast, Gartner Dataquest predicted this market to grow to nearly $600 million by 2007, a CAGR of 47 percent over the forecast period. By comparison, chemical mechanical polishing (CMP) grew at a CAGR of 75 percent during its first six years on the market. ALD will begin to make its mark on the market starting in ALD should see strong steady growth during the next few years as the market begins to adopt this technology. Naturally, the strength of the ALD market depends on the industry accepting and implementing the ALD applications. 5 Key Issue What are the emerging business opportunities as the semiconductor industry matures?

6 6 Despite Hype, Atomic Layer Deposition Shows Promise This document has been published to the following Marketplace codes: SEMC-WW-DP-0218 For More Information... In North America and Latin America: In Europe, the Middle East and Africa: In Asia/Pacific: In Japan: Worldwide via gartner.com: Entire contents 2002 Gartner, Inc. All rights reserved. Reproduction of this publication in any form without prior written permission is forbidden. The information contained herein has been obtained from sources believed to be reliable. Gartner disclaims all warranties as to the accuracy, completeness or adequacy of such information. Gartner shall have no liability for errors, omissions or inadequacies in the information contained herein or for interpretations thereof. The reader assumes sole responsibility for the selection of these materials to achieve its intended results. The opinions expressed herein are subject to change without notice