1. Introduction. What is implantation? Advantages

Size: px
Start display at page:

Download "1. Introduction. What is implantation? Advantages"

Transcription

1 Ion implantation

2 Contents 1. Introduction 2. Ion range 3. implantation profiles 4. ion channeling 5. ion implantation-induced damage 6. annealing behavior of the damage 7. process consideration 8. comparison with diffusion

3 1. Introduction What is implantation? - A process by which dopant ions having high kinetic energies are introduced into a semiconductor to change its concentration and conductivity type. - kinetic energy : 50~500KeV Advantages - Selective doping of surface region - Superior to chemical diffusion :due to lower lateral-diffusion effect replace diffusion for selective doping in device processing

4 Schematic drawing of a typical ion implanter 1.ion source 2. mass spectrometer 3. high-voltage accelerator 4. x- and y-axis deflection system 5. target chamber

5 2. Ion range Ion range - When ions pass through a solid, they collide with nuclei and electrons and come to rest within the solid after some distance R.

6 Relationship between R and R P 2-dimensional distribution of the implant atoms (1) R : the range - Ion paths are not straight due to collision. - R can have multiple values. (2) R P : Projected range - projection of R in the implantation direction (3) R P : Projected straggle - statistical fluctuations in the ion concentration along the projected range. (4) R : Projected transverse or lateral straggle - fluctuations in the ion concentration in the transverse direction.

7 Lighter ion longer projected range and straggle, lateral straggle : due to weaker interaction H, Be, Zn, Se, Cd, Te ions into GaAs (1) lighter ions penetrate deeper than the heavy ions. (2) H, Be ions have much larger R p than Zn, Se, Cd, and Te ions. Straggle and lateral straggle on silicon

8 On amorphous silicon and thermal SiO 2 On GaAs

9 Theory of ion stopping (LSS theory) (LSS-Lindhard, Scharff, and Schiott) The energy loss per unit distance due to the nuclear and electronic collisions stops incident ions. detotal de de ( ) ( ) nuclear ( ) electronic dx dx dx Range of the ions R RE ( ) de 1 de de E ( ) N S( E) total dx 0 0 E : the energy of the incident ion N : the number density of target atoms S(E) : stopping power of the solid, S(E)=S n (E)+S e (E) E

10 detotal de de ( ) ( ) nuclear ( ) dx dx dx Nuclear collision term de 0 ( ) nuclear N Td NSn( E) dx E θ : Scattering angle T : energy transferred to the target next page dσ : differential cross section for ion scattering N : the number density of target atom Coulombic interaction term de ( ) electronic Ke E Se( E) dx Ke : relatively weak function of M 1 and M 2 electronic

11 Scattering process by a nucleus Energy transferred T to the target atom T 4MM E 2 1 sin ( ) ( M1 M2) 2 E 1, M 1 : incoming ion energy, mass of incident atom M 2 : mass of target atom

12 (1)At =180 o, maximum energy transfer (= head-on collision) (2)Heavy ions transfer much more of energy through nuclear collisions compared to light ions. (3)For B, S e (E) is the dominant mechanism over whole energy range. (4)For P, As, S n (E) dominates for E up to 130 & 700 kev, respectively.

13 Calculated values of de/dx for As, P, and B at various energies. The nuclear N and electronic e component are shown.

14 3. Implantation profiles concentration profile in amorphous solid Nx Q x R 2 R 2 R 0 1 P 2 ( ) exp[ ( ) ] N(x) : impurity concentration Q 0 : the dose concentration (ions/cm 2 ) X : the distance from the surface (cm) P P cf ) ignore the effects of the transverse straggle some error near the edges of mask Peak concentration at R p & falls off symmetrically on either side of R p.

15 4. Ion channeling In crystalline solid, 3D atomic arrangements within the crystal creates open channels along certain crystalline directions. channel openness observed along a specific direction (1) channel width along <110> direction > that along <100>. (2) Ion implanted along a channeling direction : less nuclear collision. (3) Slowed down by Coulombic losses. (4) Ions can penetrate deeper into crystalline solid than in amorphous material. Ion channeling

16 Diamond-cubic lattice <100>, <110> Si lattice viewed along the <100> axis

17 Tails on the concentration vs. depth profile - tilting of crystal from a channeling direction ions don t initially channel. but may subsequently align themselves along a channeling direction. may penetrate deeper than the range calculated from equation. produce tails on the concentration vs. depth profiles of implanted ions.

18 5. Ion implantation-induced damage (1)Damage If energy transfer T > E d (E d = displacement energy) The atom will be dislodged from its site. Cascade of multiple collision until T < E d, displacement of more atoms. Cause damage, which depends on - incident ion energy - ion dose - dose rate - mass of the ion - temperature of implantation

19 In energy transfer equation, (1)Light ions - transfer small amount of energy during each collision with the lattice atom - scattering of incident ions through large angles - displaced lattice atoms will possess a small amount of energy & may not produce additional atomic displacements. - energy loss mostly by electronic collision. - relatively little crystal damage. - ion range is comparatively large & damage will be spread out over a larger volume of the target.

20 (2) Heavy ions -Energy transfer by nuclear collision: dominant -Displaced atoms can produce displacement damage. -Ions are scattered through smaller angles. -Ion range is small. -Localize the damage within a small volume.

21 Amorphization due to ion implantation. Large potential to few atoms result in fusion of silicon. HRTEM - Amorphous cascade region on a (001) Si sample implanted with 100keV Si + ions to a dose of 1 X10 14 cm -2

22 Left HRTEM image showing the interface between the amorphous and crystalline Si. Arrow indicates microcrystal. Right HRTEM image amorphous and crystalline region (Si sample implanted with Si + ion, 100keV 2 X cm -2 )

23 6. Annealing behavior of the damage Ion implantation produces damage. The point defects in semiconductors are electrically active poor electrical characteristics. Only a fraction of the implanted ions are on substitutional sites and contribute to the concentration. Annealing - the point defect density can be reduced. - the implanted dopant atoms in interstitial sites could migrate to lattice sites and become electrically active.

24 Isochronal annealing behavior of silicon implanted with B ions (1) Low dose (8x10 12 /cm 2 ) monotonic increase of freecarrier concentration, P Hall, with T a. implanted ions are shifting to lattice sites on annealing. * Isochronal ( 동시성의 )

25 (2) Higher dose (3 different regions in T a ) (a)in region I, - free carrier concentration with T a. - extended defects (faulted dislocation loops) are not observed. - B atoms are migrating to lattice sites during annealing. (b) In region II, - free carrier concentration with T a. - dislocation substructure is observed after annealing. - B atoms migrate to the dislocation cores. ( B atoms are extremely small & will have strong elastic interactions with dislocation cores.) - Once the dopant atoms are removed from the substitutional sites, they will not contribute to the free-carrier concentration.

26 (c) Region III -Free carrier concentration with T a. -B atoms return to lattice sites. -Binding energy between B atoms & dislocations is overcome thermally. released B atoms migrate to the lattice sites & increase carrier concentration. Interactions between the implanted atoms & annealing induced defect structure complicate the activation of dopants. electric measurement at the same time as the structural observation needed.

27 Isochronal annealing behavior of silicon implanted with P ions (1) With increase in dose from 3x10 12 /cm 2 to 3x10 14 /cm 2 higher annealing temperature is required to eliminate damage. (2) Amorphous layers are produced at 1x10 15 & 5x10 15 /cm 2 dose, extend to surface. (3) On annealing, amorphous to crystalline transition occurs by solid phase epitaxy.

28 During regrowth, implanted atoms are incorporated into substitutional sites. After annealing, carrier concentration with higher dose become smaller than low-dose ones. ( probably, the residual damage after annealing in high-dose sample is more extensive.)

29 Annealing behavior of Si implanted with As and Sb ions at RT. similar to the behavior of P ions. except that lower doses are required for amorphization. (since As and Sb ions are considerably heavier than P ions.)

30 Annealing behavior of GaAs implanted with Be ions (1) After annealing at 600 & 700 o C, Gaussian distribution. (2) After annealing at T>800 o C, not a Gaussian due to diffusion of Be ions into surrounding regions. (3) Driving force= difference in the activities of Be atoms in the implanted and unimplanted regions.

31 Annealing behavior of GaAs implanted with S and Se ions (1) S + - deviates from Gaussian distribution. - substantial out-diffusion into the unimplanted regions. (2) Se + - almost Gaussian. - diffusion of Se in GaAs is considerably slower than that of S. - very useful implant for the fabrication of n-type regions in semiinsulating GaAs substrates. for field-effect transistors & integrated circuits.

32 Diffusion of implanted impurities (1) 1000 o C & 1100 o C annealing: can be explained by classical diffusion theory. (2) At lower T, classical theory cannot predict the observed profiles. (3) B profile after 900 o C annealing can be explained only if a diffusion constant which is 3 times the value estimated from chemical diffusion at 800 o C is used.

33 7. Process consideration Material for masks - The ion stopping power should be high. thin layers can effectively block incoming ions. - Easily removable after implantation. - Compatible with the photolithographic technique. SiO 2, Si 3 N 4, polysilicon, metal films, photoresist, polyimides. Thickness of R P + 3 R P provide a mask effectiveness of %. lighter ions require thicker masks for effective stoppage.

34 Minimum thickness to stop % incident ions

35 Implantation of ions in SiO 2 mask (1)Produces displacement damage damaged mask can be annealed between 800 & 1000 o C. (2) If SiO 2 mask on photoresist, adhesion between SiO 2 mask and resist could be impaired. lead to lift-off of the masking layer during subsequent processing. (3) At high implant energies, oxygen atoms could be dislodged from the mask & implanted into the underlying substrate.

36 Metal mask -suffer from a metal ion implant problem. severe deleterious effects (since metals have deep energy levels in many kinds of semiconductors.) Polymers -undergo cross-linking during ion implantation. difficult to remove the mask after ion implantation using standard procedure. -so, deposit the resist layer thicker than that required for 99.99% masking effectiveness. - After implantation, a thin, non-damaged, non-cross-linked layer of the resist will be present adjacent to the substrate. - can be easily removed.

37 Composite doping profile using multiple implants Unique profile Cannot be obtained by chemical diffusion.

38 Reason for annealing Annealing Setup 1. To provide thermal energy necessary to move implanted atoms from interstitial to substitutional site. 2. To remove as far as possible ion implantation-induced damage in the crystal. Annealing system 1. Furnace annealing 2. Laser annealing 3. Rapid thermal annealing

39 (1) Furnace annealing - Quite simple, but long time - Profile changes during annealing due to bulk diffusion Laser annealing (2) Laser annealing - Closer to LSS theory, but poor electrical property (3) Rapid thermal annealing - Overcome Furnace and laser annealing. - Through large area, uniform cooling and heating

40 Furnace and laser annealing Laser annealing is closer to LSS theory than furnace annealing

41 Rapid Thermal Annealing System

42 8. Comparison with diffusion Dopants can be introduced in a controlled manner at specific locations. By varying the voltage, the junction depth can be controlled. Thermal stability is better than chemical diffusion. A wide range of ion doses, to cm -2 and ion concentration can be controlled within 1 %. Various ions. Nonequilibrium process can dope over solubility limit.

43 Disadvantages (1)high-energy ions damage the lattice. Should be annealed at high T to heal them. (2) In III-V materials, group V atoms boil off due to high vapor pressure. (3) Implanted profile may change during annealing due to bulk diffusion. (4) Highly sophisticated implantation equipment. very expensive, need operator. However, high degree of automation & fine control of process are possible. Ion implantation is extensively used.

CHAPTER 9: Ion Implantation

CHAPTER 9: Ion Implantation 1 CHAPTER 9: Ion Implantation Ion implantation is a low-temperature technique for the introduction of impurities (dopants) into semiconductors and offers more flexibility than diffusion. For instance,

More information

ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline:

ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline: ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline: Fabrication of p-n junctions Contact Potential Things you should know when you leave Key Questions What are the necessary steps to fabricate

More information

Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very

Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very high voltages (10-600 KeV) Use analyzer to selection charge/mass

More information

Ion Implantation Most modern devices doped using ion implanters Implant dopants by accelerating individual atoms (ions) Ionize gas sources (single +,

Ion Implantation Most modern devices doped using ion implanters Implant dopants by accelerating individual atoms (ions) Ionize gas sources (single +, Ion Implantation Most modern devices doped using ion implanters Implant dopants by accelerating individual atoms (ions) Ionize gas sources (single +, 2+ or 3+ ionization) Use analyzer to selection charge/mass

More information

Czochralski Crystal Growth

Czochralski Crystal Growth Czochralski Crystal Growth Crystal Pulling Crystal Ingots Shaping and Polishing 300 mm wafer 1 2 Advantage of larger diameter wafers Wafer area larger Chip area larger 3 4 Large-Diameter Wafer Handling

More information

Fabrication Technology

Fabrication Technology Fabrication Technology By B.G.Balagangadhar Department of Electronics and Communication Ghousia College of Engineering, Ramanagaram 1 OUTLINE Introduction Why Silicon The purity of Silicon Czochralski

More information

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica Microelettronica Planar Technology for Silicon Integrated Circuits Fabrication 26/02/2017 A. Neviani - Microelettronica Introduction Simplified crosssection of an nmosfet and a pmosfet Simplified crosssection

More information

Ion Implantation Most modern devices doped using ion implanters Implant dopants by accelerating individual atoms (ions) Ionize gas sources (single +,

Ion Implantation Most modern devices doped using ion implanters Implant dopants by accelerating individual atoms (ions) Ionize gas sources (single +, Ion Implantation Most modern devices doped using ion implanters Implant dopants by accelerating individual atoms (ions) Ionize gas sources (single +, 2+ or 3+ ionization) Use analyzer to selection charge/mass

More information

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook:

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook: HOMEWORK 4 and 5 March 15, 2009 Homework is due on Monday March 30, 2009 in Class. Chapter 7 Answer the following questions from the Course Textbook: 7.2, 7.3, 7.4, 7.5, 7.6*, 7.7, 7.9*, 7.10*, 7.16, 7.17*,

More information

Changing the Dopant Concentration. Diffusion Doping Ion Implantation

Changing the Dopant Concentration. Diffusion Doping Ion Implantation Changing the Dopant Concentration Diffusion Doping Ion Implantation Step 11 The photoresist is removed with solvent leaving a ridge of polysilicon (the transistor's gate), which rises above the silicon

More information

Instructor: Dr. M. Razaghi. Silicon Oxidation

Instructor: Dr. M. Razaghi. Silicon Oxidation SILICON OXIDATION Silicon Oxidation Many different kinds of thin films are used to fabricate discrete devices and integrated circuits. Including: Thermal oxides Dielectric layers Polycrystalline silicon

More information

Doping and Oxidation

Doping and Oxidation Technische Universität Graz Institute of Solid State Physics Doping and Oxidation Franssila: Chapters 13,14, 15 Peter Hadley Technische Universität Graz Institute of Solid State Physics Doping Add donors

More information

CHAPTER 4: Oxidation. Chapter 4 1. Oxidation of silicon is an important process in VLSI. The typical roles of SiO 2 are:

CHAPTER 4: Oxidation. Chapter 4 1. Oxidation of silicon is an important process in VLSI. The typical roles of SiO 2 are: Chapter 4 1 CHAPTER 4: Oxidation Oxidation of silicon is an important process in VLSI. The typical roles of SiO 2 are: 1. mask against implant or diffusion of dopant into silicon 2. surface passivation

More information

Halbleiter Prof. Yong Lei Prof. Thomas Hannappel

Halbleiter Prof. Yong Lei Prof. Thomas Hannappel Halbleiter Prof. Yong Lei Prof. Thomas Hannappel yong.lei@tu-ilmenau.de thomas.hannappel@tu-ilmenau.de http://www.tu-ilmenau.de/nanostruk/ Solid State Structure of Semiconductor Semiconductor manufacturing

More information

Lecture 22: Integrated circuit fabrication

Lecture 22: Integrated circuit fabrication Lecture 22: Integrated circuit fabrication Contents 1 Introduction 1 2 Layering 4 3 Patterning 7 4 Doping 8 4.1 Thermal diffusion......................... 10 4.2 Ion implantation.........................

More information

EE 5344 Introduction to MEMS. CHAPTER 3 Conventional Si Processing

EE 5344 Introduction to MEMS. CHAPTER 3 Conventional Si Processing 3. Conventional licon Processing Micromachining, Microfabrication. EE 5344 Introduction to MEMS CHAPTER 3 Conventional Processing Why silicon? Abundant, cheap, easy to process. licon planar Integrated

More information

EE 330 Lecture 8. IC Fabrication Technology Part II. - Oxidation - Epitaxy - Polysilicon - Interconnects

EE 330 Lecture 8. IC Fabrication Technology Part II. - Oxidation - Epitaxy - Polysilicon - Interconnects EE 330 Lecture 8 IC Fabrication Technology Part II - Oxidation - Epitaxy - Polysilicon - Interconnects Review from Last Time MOS Transistor Bulk Source Gate Drain p-channel MOSFET Lightly-doped n-type

More information

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in Chapter 5 PROCESSING OF DEVICES A discussion of crystal growth, lithography, etching, doping, and device structures is presented in the following overview gures. SEMICONDUCTOR DEVICE PROCESSING: AN OVERVIEW

More information

Chapter 3 CMOS processing technology

Chapter 3 CMOS processing technology Chapter 3 CMOS processing technology (How to make a CMOS?) Si + impurity acceptors(p-type) donors (n-type) p-type + n-type => pn junction (I-V) 3.1.1 (Wafer) Wafer = A disk of silicon (0.25 mm - 1 mm thick),

More information

Design Consideration and Effect of Parameter Variation on sub-40nm Bulk MOSFET using TCAD Tool

Design Consideration and Effect of Parameter Variation on sub-40nm Bulk MOSFET using TCAD Tool International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 4, Number 3 (2011), pp. 267-274 International Research Publication House http://www.irphouse.com Design Consideration

More information

Behavior and effects of fluorine in annealed n polycrystalline silicon layers on silicon wafers

Behavior and effects of fluorine in annealed n polycrystalline silicon layers on silicon wafers JOURNAL OF APPLIED PHYSICS VOLUME 87, NUMBER 10 15 MAY 2000 Behavior and effects of fluorine in annealed n polycrystalline silicon layers on silicon wafers C. D. Marsh a) Department of Materials, University

More information

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley Microstructure of Electronic Materials Amorphous materials Single-Crystal Material 1 The Si Atom The Si Crystal diamond structure High-performance semiconductor devices require defect-free crystals 2 Crystallographic

More information

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation. Figure 2.1 (p. 58) Basic fabrication steps in the silicon planar process: (a) oxide formation, (b) selective oxide removal, (c) deposition of dopant atoms on wafer, (d) diffusion of dopant atoms into exposed

More information

Chapter 5. UEEP2613 Microelectronic Fabrication. Diffusion

Chapter 5. UEEP2613 Microelectronic Fabrication. Diffusion Chapter 5 UEEP613 Microelectronic Fabrication Diffusion Prepared by Dr. Lim Soo King 4 Jun 01 Chapter 5 Diffusion...131 5.0 Introduction... 131 5.1 Model of Diffusion in Solid... 133 5. Fick s Diffusion

More information

Short-Circuit Diffusion L6 11/14/06

Short-Circuit Diffusion L6 11/14/06 Short-Circuit Diffusion in Crystals 1 Today s topics: Diffusion spectrum in defective crystals Dislocation core structure and dislocation short circuits Grain boundary structure Grain boundary diffusion

More information

Damage buildup in GaN under ion bombardment

Damage buildup in GaN under ion bombardment PHYSICAL REVIEW B VOLUME 62, NUMBER 11 15 SEPTEMBER 2000-I Damage buildup in GaN under ion bombardment S. O. Kucheyev,* J. S. Williams, and C. Jagadish Department of Electronic Materials Engineering, Research

More information

Characterization and control of defect states of polycrystalline silicon thin film transistor fabricated by laser crystallization

Characterization and control of defect states of polycrystalline silicon thin film transistor fabricated by laser crystallization Journal of Non-Crystalline Solids 299 302 (2002) 1321 1325 www.elsevier.com/locate/jnoncrysol Characterization and control of defect states of polycrystalline silicon thin film transistor fabricated by

More information

PHYSICAL ELECTRONICS(ECE3540) Brook Abegaz, Tennessee Technological University, Fall 2013

PHYSICAL ELECTRONICS(ECE3540) Brook Abegaz, Tennessee Technological University, Fall 2013 PHYSICAL ELECTRONICS(ECE3540) Brook Abegaz, Tennessee Technological University, Fall 2013 1 Chapter 1 The Crystal Structure of Solids Physical Electronics: Includes aspects of the physics of electron movement

More information

Most semiconductor devices contain at least one junction between p-type and n-type material. These p-n junctions are fundamental to the performance

Most semiconductor devices contain at least one junction between p-type and n-type material. These p-n junctions are fundamental to the performance Ch. 5: p-n Junction Most semiconductor devices contain at least one junction between p-type and n-type material. These p-n junctions are fundamental to the performance of functions such as rectification,

More information

EE 434 Lecture 9. IC Fabrication Technology

EE 434 Lecture 9. IC Fabrication Technology EE 434 Lecture 9 IC Fabrication Technology Quiz 7 The layout of a film resistor with electrodes A and B is shown. If the sheet resistance of the film is 40 /, determine the resistance between nodes A and

More information

CHAPTER 8: Diffusion. Chapter 8

CHAPTER 8: Diffusion. Chapter 8 1 CHAPTER 8: Diffusion Diffusion and ion implantation are the two key processes to introduce a controlled amount of dopants into semiconductors and to alter the conductivity type. Figure 8.1 compares these

More information

Semiconductors. The essential materials for microelectronics technology A key property: conductivity (or resistivity) - large dynamic range

Semiconductors. The essential materials for microelectronics technology A key property: conductivity (or resistivity) - large dynamic range Semiconductors The essential materials for microelectronics technology A key property: conductivity (or resistivity) - large dynamic range - controllable (or engineerable) Example of controllable conductivity

More information

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) contents UNIT I INTRODUCTION: Introduction to IC Technology MOS, PMOS, NMOS, CMOS & BiCMOS technologies. BASIC ELECTRICAL PROPERTIES : Basic Electrical

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction Integrated circuits: many transistors on one chip. Very Large Scale Integration (VLSI): bucketloads! Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

EE 330 Lecture 9. IC Fabrication Technology Part 2

EE 330 Lecture 9. IC Fabrication Technology Part 2 EE 330 Lecture 9 IC Fabrication Technology Part 2 Quiz 8 A 2m silicon crystal is cut into wafers using a wire saw. If the wire diameter is 220um and the wafer thickness is 350um, how many wafers will this

More information

Introduction to CMOS VLSI Design. Layout, Fabrication, and Elementary Logic Design

Introduction to CMOS VLSI Design. Layout, Fabrication, and Elementary Logic Design Introduction to CMOS VLSI Design Layout, Fabrication, and Elementary Logic Design CMOS Fabrication CMOS transistors are fabricated on silicon wafer Lithography process similar to printing press On each

More information

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS Contents: VI Sem ECE 06EC63: Analog and Mixed Mode VLSI Design PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS 1. Introduction 2. CMOS Fabrication 3. Simplified View of Fabrication Process 3.1 Alternative

More information

Silicon Wafer Processing PAKAGING AND TEST

Silicon Wafer Processing PAKAGING AND TEST Silicon Wafer Processing PAKAGING AND TEST Parametrical test using test structures regularly distributed in the wafer Wafer die test marking defective dies dies separation die fixing (not marked as defective)

More information

3. Photolithography, patterning and doping techniques. KNU Seminar Course 2015 Robert Mroczyński

3. Photolithography, patterning and doping techniques. KNU Seminar Course 2015 Robert Mroczyński 3. Photolithography, patterning and doping techniques KNU Seminar Course 2015 Robert Mroczyński Critical technology processes Photolithography The aim of this process is to transfer (in the most accurate

More information

VLSI Design and Simulation

VLSI Design and Simulation VLSI Design and Simulation CMOS Processing Technology Topics CMOS Processing Technology Semiconductor Processing How do we make a transistor? Fabrication Process Wafer Processing Silicon single crystal

More information

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University 2014 Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University Page1 Syllabus UNIT 1 Introduction to VLSI Technology: Classification of ICs, Scale of integration,

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

Process Flow in Cross Sections

Process Flow in Cross Sections Process Flow in Cross Sections Process (simplified) 0. Clean wafer in nasty acids (HF, HNO 3, H 2 SO 4,...) --> wear gloves! 1. Grow 500 nm of SiO 2 (by putting the wafer in a furnace with O 2 2. Coat

More information

High-resolution electron microscopy of grain boundary structures in yttria-stabilized cubic zirconia

High-resolution electron microscopy of grain boundary structures in yttria-stabilized cubic zirconia Mat. Res. Soc. Symp. Proc. Vol. 654 2001 Materials Research Society High-resolution electron microscopy of grain boundary structures in yttria-stabilized cubic zirconia K. L. Merkle, L. J. Thompson, G.-R.

More information

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon Chapter 5 Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon 5.1 Introduction In this chapter, we discuss a method of metallic bonding between two deposited silver layers. A diffusion

More information

Graduate Student Presentations

Graduate Student Presentations Graduate Student Presentations Dang, Huong Chip packaging March 27 Call, Nathan Thin film transistors/ liquid crystal displays April 4 Feldman, Ari Optical computing April 11 Guerassio, Ian Self-assembly

More information

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process Chapter 3 A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process 3.1 Introduction Low-temperature poly-si (LTPS) TFTs

More information

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width Microelectronics Introduction to the IC technology M.Rencz 11 September, 2002 9/16/02 1/37 Integrated circuits Development is controlled by the roadmaps. Self-fulfilling predictions for the tendencies

More information

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras Lecture - 33 Problems in LOCOS + Trench Isolation and Selective Epitaxy So, we are discussing

More information

MICROCHIP MANUFACTURING by S. Wolf

MICROCHIP MANUFACTURING by S. Wolf MICROCHIP MANUFACTURING by S. Wolf Chapter 13: THERMAL- OXIDATION of SILICON 2004 by LATTICE PRESS Chapter 13: THERMAL-OXIDATION of SILICON n CHAPTER CONTENTS Applications of Thermal Silicon-Dioxide Physical

More information

Nucleation and growth of nanostructures and films. Seongshik (Sean) Oh

Nucleation and growth of nanostructures and films. Seongshik (Sean) Oh Nucleation and growth of nanostructures and films Seongshik (Sean) Oh Outline Introduction and Overview 1. Thermodynamics and Kinetics of thin film growth 2. Defects in films 3. Amorphous, Polycrystalline

More information

CMOS Fabrication. Dr. Bassam Jamil. Adopted from slides of the textbook

CMOS Fabrication. Dr. Bassam Jamil. Adopted from slides of the textbook CMOS Fabrication Dr. Bassam Jamil Adopted from slides of the textbook CMOS Fabrication CMOS transistors are fabricated on silicon wafer Lithography process similar to printing press On each step, different

More information

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing ELEC 3908, Physical Electronics, Lecture 4 Basic Integrated Circuit Processing Lecture Outline Details of the physical structure of devices will be very important in developing models for electrical behavior

More information

EE 5611 Introduction to Microelectronic Technologies Fall Tuesday, September 04, 2012 Lecture 01

EE 5611 Introduction to Microelectronic Technologies Fall Tuesday, September 04, 2012 Lecture 01 EE 5611 Introduction to Microelectronic Technologies Fall 2012 Tuesday, September 04, 2012 Lecture 01 1 Instructor: Jing Bai Contact Email: jingbai@d.umn.edu, hone: (218)726-8606, Office: MWAH 255 Webpage:

More information

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS AND FABRICATION ENGINEERING ATTHE MICRO- NANOSCALE Fourth Edition STEPHEN A. CAMPBELL University of Minnesota New York Oxford OXFORD UNIVERSITY PRESS CONTENTS Preface xiii prrt i OVERVIEW AND MATERIALS

More information

VLSI. Lecture 1. Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University. Based on slides of David Money Harris

VLSI. Lecture 1. Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University. Based on slides of David Money Harris VLSI Lecture 1 Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University Based on slides of David Money Harris Goals of This Course Learn the principles of VLSI design Learn to design

More information

Physics and Material Science of Semiconductor Nanostructures

Physics and Material Science of Semiconductor Nanostructures Physics and Material Science of Semiconductor Nanostructures PHYS 570P Prof. Oana Malis Email: omalis@purdue.edu Today Bulk semiconductor growth Single crystal techniques Nanostructure fabrication Epitaxial

More information

EE 245: Introduction to MEMS Lecture 7m1: Lithography, Etching, & Doping CTN 9/18/ Regents of the University of California

EE 245: Introduction to MEMS Lecture 7m1: Lithography, Etching, & Doping CTN 9/18/ Regents of the University of California EE 45 Introduction to MEMS Lecture 7m1 Lithography, Etching, & Doping Anisotropic Wet Etching Anisotropic Wet Etching (cont.) Anisotropic etches also available for single crystal Can get the following

More information

EE 330 Lecture 8. IC Fabrication Technology Part II. - Masking - Photolithography - Deposition - Etching - Diffusion

EE 330 Lecture 8. IC Fabrication Technology Part II. - Masking - Photolithography - Deposition - Etching - Diffusion EE 330 Lecture 8 IC Fabrication Technology Part II?? - Masking - Photolithography - Deposition - Etching - Diffusion Review from Last Time Technology Files Provide Information About Process Process Flow

More information

Formation of High-quality Aluminum Oxide under Ion Beam Irradiation

Formation of High-quality Aluminum Oxide under Ion Beam Irradiation 15th International School-Conference New materials Materials of innovative energy: development, characterization methods and application Volume 2018 Conference Paper Formation of High-quality Aluminum

More information

CMOS FABRICATION. n WELL PROCESS

CMOS FABRICATION. n WELL PROCESS CMOS FABRICATION n WELL PROCESS Step 1: Si Substrate Start with p- type substrate p substrate Step 2: Oxidation Exposing to high-purity oxygen and hydrogen at approx. 1000 o C in oxidation furnace SiO

More information

Kinetics of Silicon Oxidation in a Rapid Thermal Processor

Kinetics of Silicon Oxidation in a Rapid Thermal Processor Kinetics of Silicon Oxidation in a Rapid Thermal Processor Asad M. Haider, Ph.D. Texas Instruments Dallas, Texas USA Presentation at the National Center of Physics International Spring Week 2010 Islamabad

More information

CHAPTERS SUMMARY OF RESULTS

CHAPTERS SUMMARY OF RESULTS CHAPTERS SUMMARY OF RESULTS Aluminium diffusion was investigated by NRA in five different semiconductors. Different experimental methods were employed. For in-diffusion investigation thin aluminium films

More information

Isolation Technology. Dr. Lynn Fuller

Isolation Technology. Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Isolation Technology Dr. Lynn Fuller Motorola Professor 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Fax (585) 475-5041

More information

CMOS VLSI Design. Introduction. All materials are from the textbook Weste and Harris, 3 rd Edition CMOS VLSI DESIGN. Introduction

CMOS VLSI Design. Introduction. All materials are from the textbook Weste and Harris, 3 rd Edition CMOS VLSI DESIGN. Introduction CMOS VLSI Design Introduction ll materials are from the textbook Weste and Harris, 3 rd Edition CMOS VLSI DESIGN Introduction Chapter previews the entire field, subsequent chapters elaborate on specific

More information

Fabrication and Layout

Fabrication and Layout ECEN454 Digital Integrated Circuit Design Fabrication and Layout ECEN 454 3.1 A Glimpse at MOS Device Polysilicon Aluminum ECEN 475 4.2 1 Material Classification Insulators Glass, diamond, silicon oxide

More information

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras Lecture - 36 MOSFET I Metal gate vs self-aligned poly gate So far, we have discussed about

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time IC Fabrication Technology Crystal Preparation

More information

Amorphous and Polycrystalline Thin-Film Transistors

Amorphous and Polycrystalline Thin-Film Transistors Part I Amorphous and Polycrystalline Thin-Film Transistors HYBRID AMORPHOUS AND POLYCRYSTALLINE SILICON DEVICES FOR LARGE-AREA ELECTRONICS P. Mei, J. B. Boyce, D. K. Fork, G. Anderson, J. Ho, J. Lu, Xerox

More information

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 2001 by Prentice Hall Chapter 17 Doping Processes Common Dopants Used in Semiconductor Manufacturing Acceptor Dopant Group IIIA

More information

Chapter 4. UEEP2613 Microelectronic Fabrication. Oxidation

Chapter 4. UEEP2613 Microelectronic Fabrication. Oxidation Chapter 4 UEEP2613 Microelectronic Fabrication Oxidation Prepared by Dr. Lim Soo King 24 Jun 2012 Chapter 4...113 Oxidation...113 4.0 Introduction... 113 4.1 Chemistry of Silicon Dioxide Formation... 115

More information

Development of Silicon Pad and Strip Detector in High Energy Physics

Development of Silicon Pad and Strip Detector in High Energy Physics XXI DAE-BRNS High Energy Physics Symposium 2014, IIT Guwahati Development of Silicon Pad and Strip Detector in High Energy Physics Manoj Jadhav Department of Physics I.I.T. Bombay 2 Manoj Jadhav, IIT Bombay.

More information

MOSFET. n+ poly Si. p- substrate

MOSFET. n+ poly Si. p- substrate EE143 Midterm #1 Solutions Fall 2005 (maximum score is 97) Problem 1 Processing Modules and Simple Process Sequence (25 points total) The following schematic cross-section shows a MOSFET together with

More information

I. GaAs Material Properties

I. GaAs Material Properties I. GaAs Material Properties S. Kayali GaAs is a III V compound semiconductor composed of the element gallium (Ga) from column III and the element arsenic (As) from column V of the periodic table of the

More information

EE CRYSTAL GROWTH, WAFER FABRICATION AND BASIC PROPERTIES OF Si WAFERS- Chapter 3. Crystal Structure z a

EE CRYSTAL GROWTH, WAFER FABRICATION AND BASIC PROPERTIES OF Si WAFERS- Chapter 3. Crystal Structure z a 1 EE 1 FALL 1999-00 CRYSTAL GROWTH, WAFER FABRICATION AND BASIC PROPERTIES OF Si WAFERS- Chapter 3 z a B Crystal Structure z a z a C y y y A x x Cubic BCC FCC x Crystals are characterized by a unit cell

More information

Section 4: Thermal Oxidation. Jaeger Chapter 3. EE143 - Ali Javey

Section 4: Thermal Oxidation. Jaeger Chapter 3. EE143 - Ali Javey Section 4: Thermal Oxidation Jaeger Chapter 3 Properties of O Thermal O is amorphous. Weight Density =.0 gm/cm 3 Molecular Density =.3E molecules/cm 3 O Crystalline O [Quartz] =.65 gm/cm 3 (1) Excellent

More information

Chapter 2 MOS Fabrication Technology

Chapter 2 MOS Fabrication Technology Chapter 2 MOS Fabrication Technology Abstract This chapter is concerned with the fabrication of metal oxide semiconductor (MOS) technology. Various processes such as wafer fabrication, oxidation, mask

More information

From sand to silicon wafer

From sand to silicon wafer From sand to silicon wafer 25% of Earth surface is silicon Metallurgical grade silicon (MGS) Electronic grade silicon (EGS) Polycrystalline silicon (polysilicon) Single crystal Czochralski drawing Single

More information

Lab IV: Electrical Properties

Lab IV: Electrical Properties Lab IV: Electrical Properties Study Questions 1. How would the electrical conductivity of the following vary with temperature: (a) ionic solids; (b) semiconductors; (c) metals? Briefly explain your answer.

More information

EE 5611 Introduction to Microelectronic Technologies Fall Tuesday, September 02, 2014 Lecture 01

EE 5611 Introduction to Microelectronic Technologies Fall Tuesday, September 02, 2014 Lecture 01 EE 5611 Introduction to Microelectronic Technologies Fall 2014 Tuesday, September 02, 2014 Lecture 01 1 Instructor: Jing Bai Contact Email: jingbai@d.umn.edu, hone: (218)726-8606, Office: MWAH 255 Webpage:

More information

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther EECS 40 Spring 2003 Lecture 19 Microfabrication 4/1/03 Prof. ndy Neureuther How are Integrated Circuits made? Silicon wafers Oxide formation by growth or deposition Other films Pattern transfer by lithography

More information

Ion implantation into GaN

Ion implantation into GaN Materials Science and Engineering, 33 (2001) 51±107 Ion implantation into GaN S.O. Kucheyev a,*, J.S. Williams a, S.J. Pearton b a Department of Electronic Materials Engineering, Research School of Physical

More information

THERMAL OXIDATION - Chapter 6 Basic Concepts

THERMAL OXIDATION - Chapter 6 Basic Concepts THERMAL OXIDATION - Chapter 6 Basic Concepts SiO 2 and the Si/SiO 2 interface are the principal reasons for silicon s dominance in the IC industry. Oxide Thickness µm 0. µm 0 nm nm Thermally Grown Oxides

More information

IC/MEMS Fabrication - Outline. Fabrication

IC/MEMS Fabrication - Outline. Fabrication IC/MEMS Fabrication - Outline Fabrication overview Materials Wafer fabrication The Cycle: Deposition Lithography Etching Fabrication IC Fabrication Deposition Spin Casting PVD physical vapor deposition

More information

Fabrication Process. Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation CONCORDIA VLSI DESIGN LAB

Fabrication Process. Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation CONCORDIA VLSI DESIGN LAB Fabrication Process Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation 1 Fabrication- CMOS Process Starting Material Preparation 1. Produce Metallurgical Grade Silicon

More information

Chapter 2 Manufacturing Process

Chapter 2 Manufacturing Process Digital Integrated Circuits A Design Perspective Chapter 2 Manufacturing Process 1 CMOS Process 2 CMOS Process (n-well) Both NMOS and PMOS must be built in the same silicon material. PMOS in n-well NMOS

More information

Junction formation in Ge by coimplant. and pre-heating techniques

Junction formation in Ge by coimplant. and pre-heating techniques Junction formation in Ge by coimplant. and pre-heating techniques Takashi Kuroi Nissin Ion Equipment Co., Ltd. 0 Content Introduction Purpose and Motivation Experimental Acceptor impurity implanted Germanium

More information

EE 143 MICROFABRICATION TECHNOLOGY FALL 2014 C. Nguyen PROBLEM SET #9

EE 143 MICROFABRICATION TECHNOLOGY FALL 2014 C. Nguyen PROBLEM SET #9 Issued: Tuesday, Nov. 11, 2014 PROLEM SET #9 Due: Wednesday, Nov. 19, 2010, 8:00 a.m. in the EE 143 homework box near 140 Cory 1. The following pages comprise an actual pwell CMOS process flow with poly-to-poly

More information

Radiation Tolerant Isolation Technology

Radiation Tolerant Isolation Technology Radiation Tolerant Isolation Technology Background The following contains a brief description of isolation technologies used for radiation hardened integrated circuits. The technologies mentioned are junction

More information

Hydrogen isotope retention in W irradiated by heavy ions and helium plasma

Hydrogen isotope retention in W irradiated by heavy ions and helium plasma Hydrogen isotope retention in W irradiated by heavy ions and helium plasma M. Sakamoto, H. Tanaka, S. Ino, H. Watanabe 1, M. Tokitani 2, R. Ohyama 3, A. Rusinov 3 and N. Yoshida 1 Plasma Research Center,

More information

COMPUCOM INSTITUTE OF TECHNOLOGY & MANAGEMENT, JAIPUR (DEPARTMENT OF ELECTRONICS & COMMUNICATION) Notes IC TECHNOLOGY. (Subject Code: 7EC4)

COMPUCOM INSTITUTE OF TECHNOLOGY & MANAGEMENT, JAIPUR (DEPARTMENT OF ELECTRONICS & COMMUNICATION) Notes IC TECHNOLOGY. (Subject Code: 7EC4) COMPUCOM INSTITUTE OF TECHNOLOGY & MANAGEMENT, JAIPUR (DEPARTMENT OF ELECTRONICS & COMMUNICATION) Notes IC TECHNOLOGY (Subject Code: 7EC4) Prepared Class: B. Tech.IV Year, VII Semester SYLLABUS UNIT 2

More information

Chapter 2 Problems. The CMOS technology we need to realize is shown below, from Figure 1-34 in the text. S P + N P + N WELL P +

Chapter 2 Problems. The CMOS technology we need to realize is shown below, from Figure 1-34 in the text. S P + N P + N WELL P + Chapter 2 roblems 2.1 Sketch a process flow that would result in the structure shown in Figure 1-34 by drawing a series of drawings similar to those in this chapter. You only need to describe the flow

More information

Ion-beam technology. w. K. Hofker. Depth distribution of boron ions. Experimental methods

Ion-beam technology. w. K. Hofker. Depth distribution of boron ions. Experimental methods 320 Philips tech. Rev. 39, 320-323,1980; No.11 Ion-beam technology w. K. Hofker One of the applications of ion-beam technology is in the manufacture of transistors, integrated circuits - ICs, 'chips' -

More information

CMOS Manufacturing process. Design rule set

CMOS Manufacturing process. Design rule set CMOS Manufacturing process Circuit design Set of optical masks Fabrication process Circuit designer Design rule set Process engineer All material: Chap. 2 of J. Rabaey, A. Chandrakasan, B. Nikolic, Digital

More information

Microfabrication of Integrated Circuits

Microfabrication of Integrated Circuits Microfabrication of Integrated Circuits OUTLINE History Basic Processes Implant; Oxidation; Photolithography; Masks Layout and Process Flow Device Cross Section Evolution Lecture 38, 12/05/05 Reading This

More information

Contents. Abbreviations and Symbols... 1 Introduction... 1

Contents. Abbreviations and Symbols... 1 Introduction... 1 Contents Abbreviations and Symbols... XIII 1 Introduction... 1 2 Experimental Techniques... 5 2.1 Positron Sources... 7 2.2 Positron Lifetime Spectroscopy... 9 2.2.1 Basics of the Measurement... 10 2.2.2

More information

CHAPTER - 4 CMOS PROCESSING TECHNOLOGY

CHAPTER - 4 CMOS PROCESSING TECHNOLOGY CHAPTER - 4 CMOS PROCESSING TECHNOLOGY Samir kamal Spring 2018 4.1 CHAPTER OBJECTIVES 1. Introduce the CMOS designer to the technology that is responsible for the semiconductor devices that might be designed

More information

Complexity of IC Metallization. Early 21 st Century IC Technology

Complexity of IC Metallization. Early 21 st Century IC Technology EECS 42 Introduction to Digital Electronics Lecture # 25 Microfabrication Handout of This Lecture. Today: how are Integrated Circuits made? Silicon wafers Oxide formation by growth or deposition Other

More information

High Performance AlGaN Heterostructure Field-Effect Transistors

High Performance AlGaN Heterostructure Field-Effect Transistors Kyma Inc. Contract ABR DTD 1/8/07; Prime: FA8650-06-C-5413 1 High Performance AlGaN Heterostructure Field-Effect Transistors Program Objectives The primary objectives of this program were to develop materials

More information

CRYSTAL GROWTH, WAFER FABRICATION AND BASIC PROPERTIES OF Si WAFERS- Chapter 3. Crystal Structure z a

CRYSTAL GROWTH, WAFER FABRICATION AND BASIC PROPERTIES OF Si WAFERS- Chapter 3. Crystal Structure z a CRYSTAL GROWTH, WAFER FABRICATION AND BASIC PROPERTIES OF Si WAFERS- Chapter 3 Crystal Growth, Si Wafers- Chapter 3 z a C y B z a y Crystal Structure z a y Crystals are characterized by a unit cell which

More information