Electroless CoWP Boosts Copper Reliability, Device Performance Bill Lee, Blue29, Sunnyvale, Calif. -- 7/1/2004 Semiconductor International

Size: px
Start display at page:

Download "Electroless CoWP Boosts Copper Reliability, Device Performance Bill Lee, Blue29, Sunnyvale, Calif. -- 7/1/2004 Semiconductor International"

Transcription

1 <<< Back Print Electroless CoWP Boosts Copper Reliability, Device Performance Bill Lee, Blue29, Sunnyvale, Calif. -- 7/1/2004 Semiconductor International The copper damascene process is widely established and has brought higher performance to semiconductor devices. Copper has replaced aluminum because of its lower resistivity and higher reliability, which was expected to be better because of its higher activation energy for diffusion. However, copper still suffers from electromigration (EM) and stress migration (SM) reliability issues (Fig. 1 ) as geometries continue to shrink, and current densities increase. 1-4 Also, interlevel dielectric (ILD) materials change at the 65 and 45 nm technology nodes. At a Glance Electroless cobalt tungsten phosphide (CoWP) development for 65 and 45 nm deployment is underway. Alkali metal-free chemicals and high-throughput production tools for deposition and metrology are now available, enabling CoWP to overcome interconnect reliability obstacles and provide performance improvements. Electromigration and stress migration In the copper damascene process, the copper line is encapsulated on the sides and bottom by barrier metal, and on top by barrier/etch stop dielectric. The copper/ dielectric interface has weaker adhesion than the copper/barrier metal interface, so copper diffusion occurs predominantly at the top surface. Under high current densities, copper atoms move in the direction of the electron flow, and vacancies accumulate in the opposite direction into voids that cause the device to fail (Fig. 2). Attempts to improve copper/dielectric adhesion using various surface treatments prior to dielectric deposition provide some near-term relief, but ultimately this interface must be fundamentally changed, otherwise current densities will be restricted to the low 10 6 A/cm 2 regime. At 65 nm and future technology nodes, this means drive currents must be lower or interconnect linewidths and via sizes larger, making devices bigger, more expensive and slower. (1 of 7)1/1/2005 7:29:18 AM

2 1. As these void samples show, copper still suffers from electromigration and stress migration as geometries continue to shrink. 2. Electromigration void formation can result as a consequence of the electron flow, and cause device failure. Copper lines have different linewidths on different levels. Vias contacting wide lines above or below (2 of 7)1/1/2005 7:29:18 AM

3 experience void formation in the wide lines caused by thermal stress cycling during wafer manufacturing. 5 Vacancies, which are induced during copper grain growth, move along near grain boundaries and weak interfaces, accumulating at low stress points at the narrower vias (Fig. 3 ). Even narrow lines connecting vias can experience stress-induced voiding if the linewidth increases nearby on the same level Grain growth and weak interfaces can create stress-induced void formation in or near vias. CoWP cap Engineers have successfully solved these reliability problems by adding a cobalt tungsten phosphide (CoWP) cap to the copper using a selective electroless deposition process after CMP Electroless CoWP deposition is self-aligned to copper and forms a smooth conformal film (Fig. 4 ). Depending on process conditions, this layer can be amorphous or pseudo-epitaxial to follow the underlying copper grain structure. The film is typically 90% cobalt in nanocrystalline form with 2% tungsten and 8% phosphorus. 13 The tungsten and phosphide stuff the cobalt grain boundaries, while the cobalt forms the majority of the interface to copper, forming metal-metal 4. Electroless CoWP deposition is selfaligned to copper, resulting in smooth conformal films. The SEM and TEM show a CoWP cap on copper. bonds with adhesion energies above 40 J/m 2, compared with metal-dielectric bonds of J/m 2. Experimentally, Ta/TaN cap and CVD tungsten metal caps have also demonstrated EM lifetime improvements. Electroless deposition has the advantage of being selective to the copper surface, which avoids an expensive patterning step (wet etch to recess the copper, PVD Ta/TaN, second CMP step to remove the Ta/TaN from the ILD surface). Also, compared with CVD tungsten, selectivity is easier to (3 of 7)1/1/2005 7:29:18 AM

4 maintain using the electroless process. Compared with structures using the conventional dielectric layer alone, EM lifetime improvements of one to two orders of magnitude have been demonstrated using a CoWP cap (Table ) Stress migration can also be significantly improved. 7 'Low-k metal' Using a CoWP cap to improve device reliability is a necessity for many chipmakers, while others see it as a way to improve device performance by reducing the effective dielectric constant (k eff ) around the copper lines. The use of a top metal cap that also acts as a copper diffusion barrier allows the dielectric barrier to be eliminated, if its simultaneous use as an etch-stop for the via etch can be obviated. RC time constant reductions of 5-15% are anticipated. 8,11 This can be equivalent to a whole generation of low-k material improvement; e.g., moving from k=2.5 to k=2.1. Key film requirements CoWP thickness requirement is typically in the Å range with a within-wafer non-uniformity of <5% 1s and a wafer-to-wafer non-uniformity of <5% 1σ (for such a thin film, this translates to a few monolayers of variability). EM improvement is relatively independent of thickness, while SM improvement scales with thickness. Thickness is usually limited by line-to-line spacing because the CoWP cap grows isotropically on the copper, so beyond the thickness of the barrier metal, further lateral growth would narrow the spacing and potentially increase line-to-line leakage. Process selectivity is checked with line-to-line leakage. Typically, the new process with CoWP cap must pass the same leakage requirement as the dielectric-capped process. This is dependent on the company, technology and process generation. End-to-end resistance change must be 5%. This includes contributions from line and via resistance, from both dimensional changes and CoWP material resistivity. For the line, the addition of the CoWP cap provides a shunt path for the current, which is useful for overcoming current crowding problems at the vias. While selectivity is critical, care must be taken in the CoWP deposition process so as not to impact the copper thickness, which can negatively affect the final line resistance. For the via, resistance change will depend on whether the CoWP layer is retained or etched through during via etch. The former has the advantage of replacing a weaker TaN/Cu interface with a stronger CoWP/Cu one, but also the disadvantage of putting higher-resistivity (albeit very thin) material in the current path. To ameliorate this issue, cap material resistivity is usually required to be <50 µω-cm. (4 of 7)1/1/2005 7:29:18 AM

5 For each device generation, geometries shrink by ~0.7 in linewidth, or about half in cross-sectional area. Since currents remain approximately constant, current density, j, can as much as double, affecting reliability. According to Black's Law, EM lifetime (MTTF) is inversely proportional to j n, where n varies between 1 and 2 depending on the mode of failure (during void formation or void growth). Thus, lifetime can decrease four times for each technology generation, so 4 is usually stated as the minimum lifetime improvement required and more typically 10 is specified. SM lifetime improvement requirement varies by IC manufacturer. Equation 1 When the focus is on performance improvement through k eff reduction, another requirement is for the metal cap to act as a diffusion barrier. The criteria for this can vary widely. A typical method is to test annealed blanket films of cap metal on copper by surface analysis techniques such as Auger, XPS or Surface SIMS to check for copper. Another is to build an MIM capacitor stack with the bottom metal electrode capped, and check the C-V properties after anneal for any copper in the dielectric. Processing requirements Electroless CoWP deposition selectivity requires the surface of the copper and ILD to be very clean. For example, any post-cmp passivation material such as BTA must be removed from the copper surface, and all unwanted foreign matter has to be removed from the ILD surface (including any embedded copper atoms). Sometimes an activation step is used to improve copper's catalytic nature. After CoWP deposition, further surface treatment may be used to prepare for the next step in the integration flow. All told, this may involve two to five chemicals that the hardware should handle in an easily programmable and cost-effective manner. Some of the chemical formulations perform best at high temperatures near their boiling points. For example, film growth incubation time falls below 1 sec above 90 C, which helps achieve thin conformal films with full coverage while also improving throughput. This necessitates that the hardware support this by preventing evaporation losses of volatile chemical components, which would change the solution composition and process performance. The focus in this application is to make the highest-quality interface between the copper and CoWP. Once the copper surface is cleaned it should not be allowed to deteriorate before the CoWP cap is deposited. Conventional plating systems that transfer wafers between chemical baths or spray stations expose the wafers to air. Since each chemical processing step requires different amounts of time, queue times between steps allow the copper surface to oxidize. Partial drying of the wafer surface while waiting can also cause non-uniform CoWP nucleation and film growth. Therefore, the hardware for electroless processes should be designed to have minimal queue time between steps while keeping the wafer surface wet and in a nonoxidizing environment. Electroless deposition relies on the interaction of several components in solution, which should be monitored and adjusted as necessary in real time. Optical methods such as UV-VIS and Raman spectroscopy are preferred because they are non-invasive and can monitor multiple components simultaneously. Chemical analysis methods such as ion chromatography are useful for development, but best performed offline (per (5 of 7)1/1/2005 7:29:18 AM

6 shift qualification, for example) in production. The cost of adding the CoWP layer must be as low as possible. This generally comes down to depreciation and chemical costs, since consumables are few. Depreciation can be minimized if throughput is high. Chemical cost can be reduced over time as per-wafer chemical usage is reduced, and formulations changed to lower-cost alloys. Metrology Measuring a 100 Å thickness with sufficient precision to check 5% non-uniformity with a patterned film is not trivial. For blanket films, the metal cap layer is thin enough to enable spectroscopic ellipsometry to work at the shorter wavelengths. But for patterned wafers, a smaller spot size is required. For ternary alloys, it is also useful to measure film composition simultaneously. For example, P% can affect film stress and the ratio of W% and P% to Co% can affect its copper diffusion barrier property. Electron-stimulated X-ray analysis can yield both thickness and composition information (Fig. 5 ). For closed-loop control, optical methods such as spectrophotometry can be used for integrated thickness monitoring on the deposition system. 5. Simultaneous thickness and composition can be determined through electron-stimulated X- ray analysis. (Source: KLA-Tencor) Summary Development of electroless CoWP technology for 65 and 45 nm deployment is underway at the leading IC companies. Alkali metal-free semiconductor-grade chemicals, and high-throughput production tools for deposition and metrology are now available. CoWP will allow the semiconductor industry to overcome interconnect reliability obstacles and provide performance improvements by alleviating the use of materials that increase k eff of the ILD. (6 of 7)1/1/2005 7:29:18 AM

7 Author Information Bill Lee is director of marketing at Blue29. He has worked with PVD, CVD and etch systems at Applied Materials, and with e-beam metrology systems at Schlumberger. He has an M.S. EECS from the Massachusetts Institute of Technology, and an M.B.A. from Stanford University. References 1. P. Singer, "Copper Challenges for the 45nm Node," Semiconductor International, May 2004, p L. Peters, "Low-k Dielectrics Pose New Reliability Concerns," Semiconductor International, February 2003, p L. Peters, "Exploring Advanced Interconnect Reliability," Semiconductor International, July 2002, p K.N. Tu., "Recent Advances on Electromigration in Very Large-Scale Integration of Interconnects," Journal of Applied Physics, 2003, Vol. 94, No. 9, p T. Oshima, et al., "Suppression of Stress-Induced Voiding in Copper Interconnects," Proc. IEDM, K. Yoshida, et al., "Stress-Induced Voiding Phenomena for Actual CMOS LSI Interconnects," Proc. IEDM, T. Ishigami, et al., "High Reliability Cu Interconnection Utilizing a Low Contamination CoWP Capping Layer," Proc. IITC, P. Moon, et al., "Process Roadmap and Challenges for Metal Barriers," Proc. IEDM, C.K. Hu, et al., "Reduced Cu Interface Diffusion by CoWP Surface Coating," Microelectronic Engineering, C.K. Hu, et al., "Effects of Overlayers on Electromigration Reliability Improvements for Cu/Low k Interconnects," Proc. IRPS, T. Ko, et al., "High Performance/Reliability Cu Interconnect with Selective CoWP Cap," Symposium on VLSI Technology, E.J. O'Sullivan, et al., "Electrolessly Deposited Diffusion Barriers for Microelectronics," IBM Jour. of R&D, 1998, Vol. 42, No. 5, p A. Kohn, et al., "Characterization of Electroless Deposited Co(W,P) Thin Films for Encapsulation of Copper Metallization," Materials Science and Engineering, 2001, Vol. A302, p. 18. <<< Back Print 2005, Reed Business Information, a division of Reed Elsevier Inc. All Rights Reserved. (7 of 7)1/1/2005 7:29:18 AM

Outline. Interconnect scaling issues Polycides, silicides and metal gates Aluminum technology Copper technology

Outline. Interconnect scaling issues Polycides, silicides and metal gates Aluminum technology Copper technology Outline Interconnect scaling issues Polycides, silicides and metal gates Aluminum technology Copper technology Wire Half Pitch vs Technology Node ITRS 2002 Narrow line effects Ref: J. Gambino, IEDM, 2003

More information

Alternatives to Aluminium Metallization

Alternatives to Aluminium Metallization Alternatives to Aluminium Metallization Technological pressures on the speed and reliability of integrated circuits has caused a need for changes to be made in the choices of materials used for metallization

More information

Properties and Barrier Material Interactions of Electroless Copper used for Seed Enhancement

Properties and Barrier Material Interactions of Electroless Copper used for Seed Enhancement Mat. Res. Soc. Symp. Proc. Vol. 766 2003 Materials Research Society E1.4.1 Properties and Barrier Material Interactions of Electroless Copper used for Seed Enhancement C. Witt a,b,k.pfeifer a,c a International

More information

ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization. Die Image

ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization. Die Image ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization Prof. Rensselaer Polytechnic Institute Troy, NY 12180 Office: CII-6229 Tel.: (518) 276-2909 e-mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s18/ecse

More information

Copper Interconnect Technology

Copper Interconnect Technology Tapan Gupta Copper Interconnect Technology i Springer Contents 1 Introduction 1 1.1 Trends and Challenges 2 1.2 Physical Limits and Search for New Materials 5 1.3 Challenges 6 1.4 Choice of Materials 7

More information

45nm Reliability Issues. Glenn Alers Integration Group Novellus Systems

45nm Reliability Issues. Glenn Alers Integration Group Novellus Systems 45nm Reliability Issues Glenn Alers Integration Group Novellus Systems 1 Integration Challenges for Interconnects Maintain low RC with reduced line widths No sacrifice in reliability Reduced Cu line width

More information

Effect of impurity on Cu electromigration

Effect of impurity on Cu electromigration Effect of impurity on Cu electromigration C.K. Hu, M. Angyal, B. Baker, G. Bonilla, C. Cabral, D. F. Canaperi, L. Clevenger, D. Edelstein, L. Gignac, E. Huang, J. Kelly, B. Y. Kim, V. Kyei- Fordjour, S.

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

IC Fabrication Technology Part III Devices in Semiconductor Processes

IC Fabrication Technology Part III Devices in Semiconductor Processes EE 330 Lecture 10 IC Fabrication Technology Part III Metalization and Interconnects Parasitic Capacitances Back-end Processes Devices in Semiconductor Processes Resistors Diodes Review from Last Lecture

More information

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials Interconnects Outline Interconnect scaling issues Aluminum technology Copper technology 1 Properties of Interconnect Materials Metals Silicides Barriers Material Thin film Melting resistivity point ( C)

More information

11:30 AM - C4.4 Chemical Vapor Deposition of Cobalt Nitride and Its Application as an Adhesion-enhancing Layer for Advanced Copper Interconnects

11:30 AM - C4.4 Chemical Vapor Deposition of Cobalt Nitride and Its Application as an Adhesion-enhancing Layer for Advanced Copper Interconnects 2012-04-11 SYMPOSIUM C 11:30 AM - C4.4 Chemical Vapor Deposition of Cobalt Nitride and Its Application as an Adhesion-enhancing Layer for Advanced Copper Interconnects Jing Yang 1, Harish B. Bhandari 1,

More information

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley Nonplanar Metallization Planar Metallization Passivation Metal 5 (copper) Metal 3 (copper) Interlevel dielectric (ILD) Via (tungsten) Metal 1 (copper) Tungsten Plug to Si Silicon Caps and Plugs oxide oxide

More information

Development of different copper seed layers with respect to the copper electroplating process

Development of different copper seed layers with respect to the copper electroplating process Microelectronic Engineering 50 (2000) 433 440 www.elsevier.nl/ locate/ mee Development of different copper seed layers with respect to the copper electroplating process a, a a b b b K. Weiss *, S. Riedel,

More information

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Metallization deposition and etching. Material mainly taken from Campbell, UCCS Metallization deposition and etching Material mainly taken from Campbell, UCCS Application Metallization is back-end processing Metals used are aluminum and copper Mainly involves deposition and etching,

More information

Overview of Dual Damascene Cu/Low-k Interconnect

Overview of Dual Damascene Cu/Low-k Interconnect ERC Retreat Stanford: New Chemistries & Tools for scco 2 Processing of Thin Films Overview of Dual Damascene Cu/Low-k Interconnect P. Josh Wolf 1,4 - Program Manager, Interconnect Div. josh.wolf@sematech.org

More information

Understanding and Reducing Copper Defects

Understanding and Reducing Copper Defects Understanding and Reducing Copper Defects Most high-performance logic manufacturers are by now developing, piloting or producing copper-based circuits. There are a number of companies that introduced copper

More information

Metallization. Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance

Metallization. Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance Metallization Interconnects Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance RC time delay Inter-Metal Dielectric -Prefer low dielectric constant to reduce capacitance

More information

Electromigration Improvement for Advanced Technology Nodes

Electromigration Improvement for Advanced Technology Nodes 269.1149/1.3096461 The Electrochemical Society Electromigration Improvement for Advanced Technology Nodes Hui-Jung Wu, Wen Wu, Roey Shaviv, Mandy Sriram, Anshu Pradhan, Kie Jin Park, Jennifer O Loughlin,

More information

1.1 Background Cu Dual Damascene Process and Cu-CMP

1.1 Background Cu Dual Damascene Process and Cu-CMP Chapter I Introduction 1.1 Background 1.1.1 Cu Dual Damascene Process and Cu-CMP In semiconductor manufacturing, we always directed toward adding device speed and circuit function. Traditionally, we focused

More information

RELIABILITY OF MULTI-TERMINAL COPPER DUAL-DAMASCENE INTERCONNECT TREES

RELIABILITY OF MULTI-TERMINAL COPPER DUAL-DAMASCENE INTERCONNECT TREES RELIABILITY OF MULTI-TERMINAL COPPER DUAL-DAMASCENE INTERCONNECT TREES C. L. Gan 1,, C. V. Thompson 1,2, K. L. Pey 1,3, and W. K. Choi 1,4 1 Advanced Materials for Micro- and Nano- Systems Programme, Singapore-MIT

More information

Pulsed Nucleation Layer of Tungsten Nitride Barrier Film and its Application in DRAM and Logic Manufacturing

Pulsed Nucleation Layer of Tungsten Nitride Barrier Film and its Application in DRAM and Logic Manufacturing Pulsed Nucleation Layer of Tungsten Nitride arrier Film and its Application in DRAM and Logic Manufacturing Kaihan Ashtiani, Josh Collins, Juwen Gao, Xinye Liu, Karl Levy Novellus Systems, Inc. 4 N. First

More information

Czochralski Crystal Growth

Czochralski Crystal Growth Czochralski Crystal Growth Crystal Pulling Crystal Ingots Shaping and Polishing 300 mm wafer 1 2 Advantage of larger diameter wafers Wafer area larger Chip area larger 3 4 Large-Diameter Wafer Handling

More information

Effect of barrier process on electromigration reliability of Cu/porous low-k interconnects

Effect of barrier process on electromigration reliability of Cu/porous low-k interconnects Effect of barrier process on electromigration reliability of Cu/porous low-k interconnects Jung Woo Pyun, a Won-Chong Baek, Jay Im, and Paul S. Ho Microelectronics Research Center, PRC/MER Mail Code R8650,

More information

Effect of barrier layers on the texture and microstructure of Copper films

Effect of barrier layers on the texture and microstructure of Copper films Mat. Res. Soc. Symp. Proc. Vol. 766 2003 Materials Research Society E2.2.1 Effect of barrier layers on the texture and microstructure of Copper films Tejodher Muppidi and David P Field School of MME, Washington

More information

Implications of Stress Migration and Voiding in Cu Damascene Interconnections

Implications of Stress Migration and Voiding in Cu Damascene Interconnections Implications of Stress Migration and Voiding in Cu Damascene Interconnections E. T. Ogawa and J. W. McPherson Texas Instruments, Inc. Dallas, TX USA 22 Topical Research Conference (TRC) on Reliability,

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time Etching Dry etch (anisotropic) SiO

More information

Metallization. Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance

Metallization. Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance Metallization Interconnects Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance RC time delay Inter-Metal Dielectric -Prefer low dielectric constant to reduce capacitance

More information

Lecture 10. Metallization / Back-end technology (BEOL)

Lecture 10. Metallization / Back-end technology (BEOL) Lecture 10 Metallization / Back-end technology (BEOL) Lecture 9: Metallization and BEOL Metallization Technology Evaporation Sputtering Back End Of the Line (BEOL) ITRS Requirements Evolution of Metallization

More information

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high vacuum ~10-7 torr Removes residual gases eg oxygen from

More information

Renesas Electronics, 2 IBM at Albany Nanotech, 3 IBM T. J. Watson Research Center, 4 IBM Microelectronics, and 5 GLOBALFOUNDRIES

Renesas Electronics, 2 IBM at Albany Nanotech, 3 IBM T. J. Watson Research Center, 4 IBM Microelectronics, and 5 GLOBALFOUNDRIES Effective Cu Surface Pre-treatment for High-reliable 22nmnode Cu Dual Damascene Interconnects with High Plasma Resistant Ultra Low-k Dielectric (k=2.2) F. Ito 1, H. Shobha 2, M. Tagami 1, T. Nogami 2,

More information

MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices

MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices Hitachi Review Vol. 57 (2008), No. 3 127 MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices Tadashi Terasaki Masayuki Tomita Katsuhiko Yamamoto Unryu Ogawa, Dr. Eng. Yoshiki Yonamoto,

More information

ALD and CVD of Copper-Based Metallization for. Microelectronic Fabrication. Department of Chemistry and Chemical Biology

ALD and CVD of Copper-Based Metallization for. Microelectronic Fabrication. Department of Chemistry and Chemical Biology ALD and CVD of Copper-Based Metallization for Microelectronic Fabrication Yeung Au, Youbo Lin, Hoon Kim, Zhengwen Li, and Roy G. Gordon Department of Chemistry and Chemical Biology Harvard University Introduction

More information

Cu electroplating in advanced packaging

Cu electroplating in advanced packaging Cu electroplating in advanced packaging March 12 2019 Richard Hollman PhD Principal Process Engineer Internal Use Only Advancements in package technology The role of electroplating Examples: 4 challenging

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 9/13/2007 Fabrication Technology Lecture 1 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world)

More information

Cu/low κ. Voids, Pits, and Copper

Cu/low κ. Voids, Pits, and Copper Cu/low κ S P E C I A L s, Pits, and Copper Judy B Shaw, Richard L. Guldi, Jeffrey Ritchison, Texas Instruments Incorporated Steve Oestreich, Kara Davis, Robert Fiordalice, KLA-Tencor Corporation As circuit

More information

Exam 1 Friday Sept 22

Exam 1 Friday Sept 22 Exam 1 Friday Sept 22 Students may bring 1 page of notes Next weeks HW assignment due on Wed Sept 20 at beginning of class No 5:00 p.m extension so solutions can be posted Those with special accommodation

More information

Lecture 22: Integrated circuit fabrication

Lecture 22: Integrated circuit fabrication Lecture 22: Integrated circuit fabrication Contents 1 Introduction 1 2 Layering 4 3 Patterning 7 4 Doping 8 4.1 Thermal diffusion......................... 10 4.2 Ion implantation.........................

More information

Microtexture measurement of copper damascene line with EBSD

Microtexture measurement of copper damascene line with EBSD Material Science Forum Vols. 408-412(2002) pp. 529-534 2002 Trans Tech Publications, Switzerland Microtexture measurement of copper damascene line with EBSD Dong-Ik Kim 1*, Jong-Min Paik 1, Young-Chang

More information

Advanced Metrology for Copper/Low-k Interconnects

Advanced Metrology for Copper/Low-k Interconnects Advanced Metrology for Copper/Low-k Interconnects Executive Summary The semiconductor industry s continued push to reduce feature size and increase circuit speed has resulted in a global race to reinvent

More information

COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING

COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING Lynne Michaelson 1, Anh Viet Nguyen 2, Krystal Munoz 1, Jonathan C. Wang

More information

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica Microelettronica Planar Technology for Silicon Integrated Circuits Fabrication 26/02/2017 A. Neviani - Microelettronica Introduction Simplified crosssection of an nmosfet and a pmosfet Simplified crosssection

More information

EE 5344 Introduction to MEMS. CHAPTER 3 Conventional Si Processing

EE 5344 Introduction to MEMS. CHAPTER 3 Conventional Si Processing 3. Conventional licon Processing Micromachining, Microfabrication. EE 5344 Introduction to MEMS CHAPTER 3 Conventional Processing Why silicon? Abundant, cheap, easy to process. licon planar Integrated

More information

Technology Drivers for Plasma Prior to Wire Bonding

Technology Drivers for Plasma Prior to Wire Bonding Technology Drivers for Plasma Prior to Wire Bonding James D. Getty Nordson MARCH Concord, CA, USA info@nordsonmarch.com Technology Drivers for Plasma Prior to Wire Bonding Page 1 ABSTRACT Advanced packaging

More information

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS Contents: VI Sem ECE 06EC63: Analog and Mixed Mode VLSI Design PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS 1. Introduction 2. CMOS Fabrication 3. Simplified View of Fabrication Process 3.1 Alternative

More information

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE Dr. Alan Doolittle

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE Dr. Alan Doolittle Lecture 12 Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12 Evaporation and Sputtering (Metalization) Evaporation For all devices, there is a need to go from semiconductor to metal.

More information

General Introduction to Microstructure Technology p. 1 What is Microstructure Technology? p. 1 From Microstructure Technology to Microsystems

General Introduction to Microstructure Technology p. 1 What is Microstructure Technology? p. 1 From Microstructure Technology to Microsystems General Introduction to Microstructure Technology p. 1 What is Microstructure Technology? p. 1 From Microstructure Technology to Microsystems Technology p. 9 The Parallels to Microelectronics p. 15 The

More information

Electromigration behavior of 60 nm dual damascene Cu interconnects

Electromigration behavior of 60 nm dual damascene Cu interconnects JOURNAL OF APPLIED PHYSICS 102, 093516 2007 Electromigration behavior of 60 nm dual damascene Cu interconnects Jung Woo Pyun, a Won-Chong Baek, Lijuan Zhang, b Jay Im, and Paul S. Ho Microelectronics Research

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

ALD of Copper and Copper Oxide Thin Films for Applications in Metallization Systems of ULSI Devices

ALD of Copper and Copper Oxide Thin Films for Applications in Metallization Systems of ULSI Devices ALD of Copper and Copper Oxide Thin Films for Applications in Metallization Systems of ULSI Devices a, Steffen Oswald b, Nina Roth c, Heinrich Lang c, Stefan E. Schulz a,d, and Thomas Gessner a,d a Center

More information

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation. Figure 2.1 (p. 58) Basic fabrication steps in the silicon planar process: (a) oxide formation, (b) selective oxide removal, (c) deposition of dopant atoms on wafer, (d) diffusion of dopant atoms into exposed

More information

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant substrate Creates latch up protection for CMOS Buried Epi

More information

Metallizing High Aspect Ratio TSVs For MEMS Challenges and Capabilities. Vincent Mevellec, PhD

Metallizing High Aspect Ratio TSVs For MEMS Challenges and Capabilities. Vincent Mevellec, PhD Metallizing High Aspect Ratio TSVs For MEMS Challenges and Capabilities Vincent Mevellec, PhD Agenda Introduction MEMS and sensors market TSV integration schemes Process flows for TSV Metallization aveni

More information

Progress in Monolithic III-V/Si and towards processing III-V Devices in Silicon Manufacturing. E.A. (Gene) Fitzgerald

Progress in Monolithic III-V/Si and towards processing III-V Devices in Silicon Manufacturing. E.A. (Gene) Fitzgerald Progress in Monolithic III-V/Si and towards processing III-V Devices in Silicon Manufacturing E.A. (Gene) Fitzgerald M.J. Mori, C.L.Dohrman, K. Chilukuri MIT Cambridge, MA USA Funding: MARCO IFC and Army

More information

From microelectronics down to nanotechnology.

From microelectronics down to nanotechnology. From microelectronics down to nanotechnology sami.franssila@tkk.fi Contents Lithography: scaling x- and y-dimensions MOS transistor physics Scaling oxide thickness (z-dimension) CNT transistors Conducting

More information

Thermal Evaporation. Theory

Thermal Evaporation. Theory Thermal Evaporation Theory 1. Introduction Procedures for depositing films are a very important set of processes since all of the layers above the surface of the wafer must be deposited. We can classify

More information

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

Semiconductor Manufacturing Technology. IC Fabrication Process Overview Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 00 by Prentice Hall Chapter 9 IC Fabrication Process Overview /4 Objectives After studying the material in this chapter, you

More information

SLURRY FORMULATION OPTIONS

SLURRY FORMULATION OPTIONS SLURRY FORMULATION OPTIONS CHALLENGES FOR DEFECT REDUCTION IN CU,Ta/TaN AND Ru PLANARIZATION S. V. Babu Center for Advanced Materials Processing, 1 Clarkson University (www.clarkson.edu/camp) Acknowledgments

More information

Chapter 4 : ULSI Process Integration (0.18 m CMOS Process)

Chapter 4 : ULSI Process Integration (0.18 m CMOS Process) Chapter : ULSI Process Integration (0.8 m CMOS Process) Reference. Semiconductor Manufacturing Technology : Michael Quirk and Julian Serda (00). - (00). Semiconductor Physics and Devices- Basic Principles(/e)

More information

Make sure the exam paper has 9 pages total (including cover page)

Make sure the exam paper has 9 pages total (including cover page) UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Fall 2010 EE143 Midterm Exam #2 Family Name First name SID Signature Solution Make sure the exam

More information

Spectroscopic Ellipsometry Film Metrology Braces for 45nm and Beyond

Spectroscopic Ellipsometry Film Metrology Braces for 45nm and Beyond Spectroscopic Ellipsometry Film Metrology Braces for 45nm and Beyond Arun R. Srivatsa - KLA-Tencor Corporation Spectroscopic Ellipsometry (SE) is a key technology for production monitoring of films in

More information

CMP Applications and Issues Part 3

CMP Applications and Issues Part 3 CMP Applications and Issues Part 3 By Christopher Henderson This is the third and final part in a series on Chemical Mechanical Polishing (CMP) applications and issues. An important aspect of CMP is end

More information

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA Overview of CMP for TSV Applications Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA Outline TSV s and the Role of CMP TSV Pattern and Fill TSV Reveal (non-selective)

More information

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material on any substrate (in principal) Start with pumping down

More information

Damage mechanics of electromigration in microelectronics copper interconnects. Cemal Basaran* and Minghui Lin

Damage mechanics of electromigration in microelectronics copper interconnects. Cemal Basaran* and Minghui Lin 16 Int. J. Materials and Structural Integrity, Vol. 1, Nos. 1/2/3, 2007 Damage mechanics of electromigration in microelectronics copper interconnects Cemal Basaran* and Minghui Lin Electronic Packaging

More information

Contents. From microelectronics down to nanotechnology

Contents. From microelectronics down to nanotechnology Contents From microelectronics down to nanotechnology sami.franssila@tkk.fi Lithography: scaling x- and y-dimensions MOS transistor physics Scaling oxide thickness (z-dimension) CNT transistors Conducting

More information

Contents. From microelectronics down to nanotechnology. Top down nanotechnology. Writing patterns

Contents. From microelectronics down to nanotechnology. Top down nanotechnology. Writing patterns Contents From microelectronics down to nanotechnology sami.franssila@tkk.fi Lithography: scaling x- and y-dimensions MOS transistor physics Scaling oxide thickness (z-dimension) CNT transistors Conducting

More information

Chapter 5 Thermal Processes

Chapter 5 Thermal Processes Chapter 5 Thermal Processes 1 Topics Introduction Hardware Oxidation Diffusion Annealing Post-Implantation Alloying Reflow High Temp CVD Epi Poly Silicon Nitride RTP RTA RTP Future Trends 2 Definition

More information

Lecture Day 2 Deposition

Lecture Day 2 Deposition Deposition Lecture Day 2 Deposition PVD - Physical Vapor Deposition E-beam Evaporation Thermal Evaporation (wire feed vs boat) Sputtering CVD - Chemical Vapor Deposition PECVD LPCVD MVD ALD MBE Plating

More information

Deposition Technologies for >500GB/in 2 and HAMR Write Heads PMR

Deposition Technologies for >500GB/in 2 and HAMR Write Heads PMR Deposition Technologies for >500GB/in 2 and HAMR Write Heads PMR Outline Background New technologies for PMR pole deposition Optical films for HAMR write heads Summary 2 Background Technology Roadmap 2009

More information

Development of Low-resistivity TiN Films using Cat Radical Sources

Development of Low-resistivity TiN Films using Cat Radical Sources Development of Low-resistivity TiN Films using Cat Radical Sources Masamichi Harada*, Yohei Ogawa*, Satoshi Toyoda* and Harunori Ushikawa** In Cu wiring processes in the 32-nm node generation or later,

More information

HBLED packaging is becoming one of the new, high

HBLED packaging is becoming one of the new, high Ag plating in HBLED packaging improves reflectivity and lowers costs JONATHAN HARRIS, President, CMC Laboratories, Inc., Tempe, AZ Various types of Ag plating technology along with the advantages and limitations

More information

Interconnects OUTLINE

Interconnects OUTLINE Interconnects 1 Interconnects OUTLINE 1. Overview of Metallization 2. Introduction to Deposition Methods 3. Interconnect Technology 4. Contact Technology 5. Refractory Metals and their Silicides Reading:

More information

CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node

CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node UMC/ ATD_AM / CMP Department T. C. Tsai, W. C. Tsao, Welch Lin, C. L. Hsu, C. L. Lin, C. M. Hsu, J. F. Lin, C. C.

More information

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon Chapter 5 Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon 5.1 Introduction In this chapter, we discuss a method of metallic bonding between two deposited silver layers. A diffusion

More information

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley Microstructure of Electronic Materials Amorphous materials Single-Crystal Material 1 The Si Atom The Si Crystal diamond structure High-performance semiconductor devices require defect-free crystals 2 Crystallographic

More information

via into the critical line above. A 20% resistance increase defines the failure of the test structure.

via into the critical line above. A 20% resistance increase defines the failure of the test structure. Electromigration and Stressmigration Failure Mechanism Studies in Copper Interconnects Armin H. Fischer and Alexander von Glasow Reliability Methodology, Infineon Technologies AG, Munich Abstract Electromigration

More information

EE 330 Lecture 12. Devices in Semiconductor Processes

EE 330 Lecture 12. Devices in Semiconductor Processes EE 330 Lecture 12 Devices in Semiconductor Processes Review from Lecture 9 Copper Interconnects Limitations of Aluminum Interconnects Electromigration Conductivity not real high Relevant Key Properties

More information

Graduate Student Presentations

Graduate Student Presentations Graduate Student Presentations Dang, Huong Chip packaging March 27 Call, Nathan Thin film transistors/ liquid crystal displays April 4 Feldman, Ari Optical computing April 11 Guerassio, Ian Self-assembly

More information

Test Patterns for Chemical Mechanical Polish Characterization

Test Patterns for Chemical Mechanical Polish Characterization Dobek S: CMP Characterization 15th Annual Microelectronic Engineering Conference, 1997 Test Patterns for Chemical Mechanical Polish Characterization Stanley 3. Dobek Senior Microelectronic Engineering

More information

Nucleation and growth of nanostructures and films. Seongshik (Sean) Oh

Nucleation and growth of nanostructures and films. Seongshik (Sean) Oh Nucleation and growth of nanostructures and films Seongshik (Sean) Oh Outline Introduction and Overview 1. Thermodynamics and Kinetics of thin film growth 2. Defects in films 3. Amorphous, Polycrystalline

More information

Doping and Oxidation

Doping and Oxidation Technische Universität Graz Institute of Solid State Physics Doping and Oxidation Franssila: Chapters 13,14, 15 Peter Hadley Technische Universität Graz Institute of Solid State Physics Doping Add donors

More information

Back End Processing (BEP) Needs Statement

Back End Processing (BEP) Needs Statement Back End Processing (BEP) Needs Statement I. Introduction- The purpose of this document is to define the needs of the SRC member companies in the area of Back End Processes (BEP), and to highlight specific

More information

ECSE-6300 IC Fabrication Laboratory Lecture 4: Dielectrics and Poly-Si Deposition. Lecture Outline

ECSE-6300 IC Fabrication Laboratory Lecture 4: Dielectrics and Poly-Si Deposition. Lecture Outline ECSE-6300 IC Fabrication Laboratory Lecture 4: Dielectrics and Poly-Si Deposition Prof. Rensselaer Polytechnic Institute Troy, NY 12180 Office: CII-6229 Tel.: (518) 276-2909 e-mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s18/ecse

More information

n region. But, it is a bit difficult

n region. But, it is a bit difficult VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras Lecture - 31 Problems in Aluminium Metal Contacts So, we have been discussing about the

More information

CSCI 4974 / 6974 Hardware Reverse Engineering. Lecture 5: Fabrication processes

CSCI 4974 / 6974 Hardware Reverse Engineering. Lecture 5: Fabrication processes CSCI 4974 / 6974 Hardware Reverse Engineering Lecture 5: Fabrication processes QUIZ 3: CMOS layout Quiz Discussion Rationale If you know how something is put together, you can figure out how to take it

More information

Micron Semiconductor MT4LC16M4H9 64Mbit DRAM

Micron Semiconductor MT4LC16M4H9 64Mbit DRAM Construction Analysis Micron Semiconductor MT4LC16M4H9 64Mbit DRAM Report Number: SCA 9705-539 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone:

More information

Motorola PC603R Microprocessor

Motorola PC603R Microprocessor Construction Analysis Motorola PC603R Microprocessor Report Number: SCA 9709-551 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780 Fax:

More information

Alternative Methods of Yttria Deposition For Semiconductor Applications. Rajan Bamola Paul Robinson

Alternative Methods of Yttria Deposition For Semiconductor Applications. Rajan Bamola Paul Robinson Alternative Methods of Yttria Deposition For Semiconductor Applications Rajan Bamola Paul Robinson Origin of Productivity Losses in Etch Process Aggressive corrosive/erosive plasma used for etch Corrosion/erosion

More information

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant substrate Creates latch up protection for CMOS Buried Epi

More information

Challenges and Future Directions of Laser Fuse Processing in Memory Repair

Challenges and Future Directions of Laser Fuse Processing in Memory Repair Challenges and Future Directions of Laser Fuse Processing in Memory Repair Bo Gu, * T. Coughlin, B. Maxwell, J. Griffiths, J. Lee, J. Cordingley, S. Johnson, E. Karagiannis, J. Ehrmann GSI Lumonics, Inc.

More information

Amorphous and Polycrystalline Thin-Film Transistors

Amorphous and Polycrystalline Thin-Film Transistors Part I Amorphous and Polycrystalline Thin-Film Transistors HYBRID AMORPHOUS AND POLYCRYSTALLINE SILICON DEVICES FOR LARGE-AREA ELECTRONICS P. Mei, J. B. Boyce, D. K. Fork, G. Anderson, J. Ho, J. Lu, Xerox

More information

IMPACT OF LEAD-FREE COMPONENTS AND TECHNOLOGY SCALING FOR HIGH RELIABILITY APPLICATIONS

IMPACT OF LEAD-FREE COMPONENTS AND TECHNOLOGY SCALING FOR HIGH RELIABILITY APPLICATIONS IMPACT OF LEAD-FREE COMPONENTS AND TECHNOLOGY SCALING FOR HIGH RELIABILITY APPLICATIONS Chris Bailey, Ph.D. University of Greenwich London, United Kingdom c.bailey@gre.ac.uk ABSTRACT Semiconductor technology

More information

Problem 1 Lab Questions ( 20 points total)

Problem 1 Lab Questions ( 20 points total) Problem 1 Lab Questions ( 20 points total) (a) (3 points ) In our EE143 lab, we use Phosphorus for the source and drain diffusion. However, most advanced processes use Arsenic. What is the advantage of

More information

Technology. Semiconductor Manufacturing. Hong Xiao INTRODUCTION TO SECOND EDITION SPIE PRESS

Technology. Semiconductor Manufacturing. Hong Xiao INTRODUCTION TO SECOND EDITION SPIE PRESS INTRODUCTION TO Semiconductor Manufacturing Technology SECOND EDITION Hong Xiao TECHNISCHE INFORMATIONSBiBUOTHEK UNIVERSITATSBIBLIOTHEK HANNOVER SPIE PRESS Bellingham,Washington USA Contents Preface to

More information

Adhesion and Electromigration in Cu Interconnect. Jim Lloyd, Michael Lane and Eric Liniger. Yorktown Heights, NY 10598

Adhesion and Electromigration in Cu Interconnect. Jim Lloyd, Michael Lane and Eric Liniger. Yorktown Heights, NY 10598 Adhesion and Electromigration in Cu Interconnect Jim Lloyd, Michael Lane and Eric Liniger Yorktown Heights, NY 10598 Adhesion and Electromigration Cu and Al act very differently with respect to electromigration

More information

2006 UPDATE METROLOGY

2006 UPDATE METROLOGY INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS METROLOGY THE ITRS DEVED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING TO INDIVIDUAL PRODUCTS

More information

PROCESS INTEGRATION ISSUES OF LOW-PERMITTIVITY DIELECTRICS WITH COPPER FOR HIGH-PERFORMANCE INTERCONNECTS

PROCESS INTEGRATION ISSUES OF LOW-PERMITTIVITY DIELECTRICS WITH COPPER FOR HIGH-PERFORMANCE INTERCONNECTS PROCESS INTEGRATION ISSUES OF LOW-PERMITTIVITY DIELECTRICS WITH COPPER FOR HIGH-PERFORMANCE INTERCONNECTS A DISSERTATION SUBMITTED TO THE DEPARTMENT OF ELECTRICAL ENGINEERING AND THE COMMITTEE ON GRADUATE

More information

Effect of annealing temperature on the electrical properties of HfAlO thin films. Chun Lia, Zhiwei Heb*

Effect of annealing temperature on the electrical properties of HfAlO thin films. Chun Lia, Zhiwei Heb* International Forum on Energy, Environment and Sustainable Development (IFEESD 2016) Effect of annealing temperature on the electrical properties of HfAlO thin films Chun Lia, Zhiwei Heb* Department of

More information

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width Microelectronics Introduction to the IC technology M.Rencz 11 September, 2002 9/16/02 1/37 Integrated circuits Development is controlled by the roadmaps. Self-fulfilling predictions for the tendencies

More information

Low Temperature Dielectric Deposition for Via-Reveal Passivation.

Low Temperature Dielectric Deposition for Via-Reveal Passivation. EMPC 2013, September 9-12, Grenoble; France Low Temperature Dielectric Deposition for Via-Reveal Passivation. Kath Crook, Mark Carruthers, Daniel Archard, Steve Burgess, Keith Buchanan SPTS Technologies,

More information