Poly-SiGe MEMS actuators for adaptive optics

Size: px
Start display at page:

Download "Poly-SiGe MEMS actuators for adaptive optics"

Transcription

1 Poly-SiGe MEMS actuators for adaptive optics Blake C.-Y. Lin a,b, Tsu-Jae King a, and Richard S. Muller a,b a Department of Electrical Engineering and Computer Sciences, b Berkeley Sensor and Actuator Center, University of California at Berkeley, Berkeley CA 94720, USA ABSTRACT Many adaptive optics (AO) applications require mirror arrays with hundreds to thousands of segments, necessitating a CMOS-compatible MEMS process to integrate the mirrors with their driving electronics. This paper proposes a MEMS actuator that is fabricated using low-temperature polycrystalline silicon-germanium (poly-sige) surface-micromaching technology (total thermal budget is 6 hours at or below 425 C). The MEMS actuator consists of three flexures and a hexagonal platform, on which a micromirror is to be assembled. The flexures are made of single-layer poly-sige with stress gradient across thickness of the film, making them bend out-of-plane after sacrificial-layer release to create a large nominal gap. The platform, on the other hand, has an additional stress-balancing SiGe layer deposited on top, making the dual-layer stack stay flat after release. Using this process, we have successfully fabricated the MEMS actuator which is lifted 14.6 µm out-of-plane by 290-µm-long flexures. The 2-µm-thick hexagonal mirror-platform exhibits a strain gradient of µm -1 (equivalent to 18 mm radius-of-curvature), which would be further reduced once the micromirror is assembled. Keywords: integrated MEMS, poly-sige, adaptive optics INTRODUCTION Adaptive Optics refers to optical systems which adapt to compensate for optical effects introduced by the medium between the object and its image. The key component in all adaptive optics systems is the deformable mirror (DM) that provides the necessary compensations to remove the effects of image blurring through turbulent media. The deformable mirror generally consists of an array of actuators underneath the reflective mirror surface. The intended application of the AO system determines the required number as well as the size, stroke, and speed of the actuators. In a drive to reduce the size and cost of the actuators, advanced fabrication based on micro-electro-mechanical systems (MEMS) technology has been actively pursued. This paper reports an actuator to be used in astronomy or vision science AO systems. These AO systems generally require high actuator count (in the range of hundreds to thousands), high fill factor (~99%), and large stroke (5-12 µm). Frequency responses of the actuators are Hz for vision-science and 5 khz for astronomy applications [1]. Conventionally, the control electronics is fabricated separately from the MEMS actuators and the control signals are introduced through bondwires to the bondpads at the periphery of the actuator array. Interconnect layer(s) underneath the MEMS actuators then directs the signals to the corresponding actuators. When the actuator count becomes very large, so does the number of bondwires and bondpads. Consequently, wiring and interconnect become increasingly complicated and also introduce huge amounts of parasitic resistances and capacitances. Therefore, for large actuator arrays, it is desirable to fabricate the MEMS actuators directly on top of their control electronics; and the best way to achieve this goal is through process integration. In this paper, we propose a MEMS actuator that is fabricated using lowtemperature polycrystalline silicon-germanium (poly-si x Ge 1-x, where 0<x<1) surface-micromaching technology. The total thermal budget of this process must be compatible with most foundry CMOS processes. Poly-SiGe is an attractive structural material for post-cmos integration of MEMS because it can be deposited at temperatures compatible with foundry CMOS [2]. Poly-SiGe exhibits electrical and mechanical properties similar to polysilicon. It has been shown that in-situ boron-doped poly-sige films with low stress (<100 MPa) and low resistivity (<1 mω-cm) can be deposited at 425 C with adequate growth rates (~2 hours/µm) [3].

2 ACTUATOR DESIGN The proposed actuator is designed for parallel-plate electrostatic actuation. As shown in Figure 1, each actuator consists of three flexures and a hexagonal platform, on which a micromirror is to be assembled. The hexagonal platform also serves as the top plate in electrostatic actuation. In order to meet the high-stroke requirement, the actuator needs to have a large nominal gap. An actuator structure proposed in [1] uses nickel-on-polysilicon bi-morph flexures that elevate the platform. The structure proposed here uses bi-width single-layer flexures that utilize the high strain gradient of poly- SiGe film. Poly-SiGe films deposited at CMOS-compatible temperatures (usually below 450 C) exhibit low average stress (less then 100 MPa compressive) but high stress gradient across the thickness of the film, due to the variation in grain structures. Upon release, the stress gradient would cause structures to curl, resulting in strain gradient in the range of 10-3 to 10-4 µm -1. It has been reported in [3] and [4] that the strain gradient could be controlled by the deposition conditions such as temperature, pressure, and flow rates of the reactant precursors (SiH 4 and GeH 4 ). In most cases, poly-sige film deposited at lower temperature, higher pressure, and higher SiH 4 /GeH 4 ratio exhibit more compressive stress and higher strain gradient [3]. In order to utilize the strain gradient of poly-sige, a bi-width structure is proposed for the flexures. On the right of Figure 2 shows the top view of a bi-width flexure. The purpose of having two different widths is to create a discontinuous point along the length of the flexure. Since the tip of the flexure is connected to the mirror platform through a short joint, it is reasonable to assume that the tip does not rotate (or only rotates by a small angle) after release. If the flexure is uniform in width throughout its length, the boundary condition at the tip (deflection angle equals to zero) would not allow the flexure to bend up after release. The bi-width flexure, on the other hand, has a discontinuous point at the junction of the wide and narrow segments. After release, the flexure would bend up from the anchor to the junction, where the deflection angle reaches its maximum, and then start to bend down till the deflection angle goes back to zero at the tip. Figure 3 schematically shows the shape of the bi-width flexure after release. Using the basic beam theory, the tip deflections of the flexure with different dimensions can be derived. Figure 2 plots the tip deflection (normalized by the deflection of a free-end cantilever beam of the same length) versus the composition of the flexure. In conclusion, the overall elevation is controlled by (1) the stress gradient of the poly-sige film and (2) the dimensions of the bi-width flexure. While the flexures are designed to curl, the mirror platform should remain as flat as possible after release. A bi-layer deposition technique, as reported in [3], is adopted to reduce the strain gradient in mirror platform. The aim is to create a down-bending moment, by adding a second poly-sige layer with more compressive average stress. The stress is controlled by deposition conditions. With appropriate stress and thickness, the down-bending moment can cancel the upbending moment within each individual layer, lowering the strain gradient of the bi-layer stack. As shown in Figure 1, the platform of the actuator is made with bi-layer poly-sige. However, since the reduction in overall strain gradient relies on the control accuracy of stress and strain gradients, it would be impractical to use the platform as an optical surface (the intended AO applications require optical-surface errors smaller than 30 nm rms for visible-wavelength correction [1]). Therefore, the proposed actuator would require a micro-assembly technique such as the one reported in [5] to assemble a micromirror onto the platform. In this case, the requirement on the flatness of the platform is much relieved. FABRICATION PROCESS As the process is designed to be integrated with CMOS, it would start with a passivation layer (i.e. silicon nitride), which is usually the topmost layer of a CMOS process. The process includes three poly-sige layers and two LTO layers. The bottom electrodes of the actuator are made of in-situ-boron-doped 0.25-µm poly-sige (SiGe0 in Figure 1) deposited at 425 C. A 1-µm LTO sacrificial layer (LTO1 in Figure 1) deposited at 400 C separates the first structural layer (SiGe1 in Figure 1) from SiGe0. SiGe1 is a 1-µm poly-sige deposited at 425 C. A 0.2-µm LTO (LTO2 in Figure1) is deposited and patterned to expose SiGe1 in the areas that require a stress-balancing top poly-sige layer (SiGe2 in Figure 1).

3 SiGe2 is a 1-µm poly-sige deposited at 410 C. Thermal budget of the entire fabrication process is 6 hours at or below 425 C. Etch holes are opened on the mirror platform before the sacrificial-layer release in 5:1 BHF. The most important aspect of designing this process is selecting the appropriate deposition recipe for each SiGe layer. The SiGe0 layer needs to be thin enough to minimize the topography it generates for the subsequent structural layers, but thick enough to maintain decent conductivity. The SiGe1 layer, which forms the flexures and the bottom of the mirror platform, needs to be conductive, has a low average stress but high enough stress gradient to elevate the platform after release. The SiGe2 layer needs to have an average stress slightly more compressive than the SiGe1 layer, and has an appropriate thickness to cancel out the strain gradient of the SiGe1 layer, making the mirror platforms as flat as possible. It should be noted that the process flow described here is to fabricate the actuator. In a fully-integrated process, the MEMS process would start with patterning the passivation layer of the CMOS wafer to expose the underlying metal for electrical contact between the MEMS actuators and their corresponding control electronics. The process to form lowresistance electrical contact between doped poly-sige and metal (i.e. aluminum) has been developed and was reported in [6]. The mirror assembly process, which would take place after the fabrication of the actuator but before the sacrificiallayer release, is out of the focus of this paper and hence not described here, either. EXPERIMENTAL RESULTS Figure 4 shows the poly-sige actuators fabricated using this process. For the particular actuator shown in Figure 4(c) and 4(d), the length of the flexure is 290 µm. The widths of the wide and narrow segments of the flexure are 40 µm and 10 µm, respectively. The wide segment takes up two-third (66%) of the flexure length, which, according to Figure 2, is the composition that would achieve the highest elevation. The hexagonal platform is 320 µm on each side. The dimensions of the actuator are typical for deformable mirrors in vision-science AO systems. A Wyko interferometer was used to measure the curvatures of the flexures, the flatness of the platform, and the deflections of various cantilever arrays (to determine the strain gradients of SiGe1, SiGe2, and bi-layer). Figure 5 shows the curvature of the1-µm-thick, 290-µm-long flexure along the length of the beam. The tip of the flexure deflects out-ofplane by 14.6 µm. Accounting for the 1 µm sacrificial-layer thickness, the gap between the platform and the bottom electrodes is 15.6 µm. The 1-µm SiG1 layer has a strain gradient of µm -1 (equivalent to 0.88 mm radius-of-curvature). After adding the 1-µm SiGe2 layer, the 2-µm bi-layer exhibits a strain gradient of µm -1 (equivalent to 18 mm radius-ofcurvature). The negative strain gradient indicates that the bi-layer bends down after release. Wkyo measurement shows that the hexagonal platform curves down with a droop of 2.8 µm from its center to the sides. The 2-µm-thick platform would be further flattened by ~1000 times once the micromirror (thickness ~ 20 µm) is assembled on top. CONCLUSION We have demonstrated a CMOS-compatible surface-micromachined electrostatic parallel-plate actuator with a nominal gap of 15.6 µm. The large nominal gap is achieved by utilizing the stress gradient in the supporting bi-width flexures, which elevate the hexagonal top plate of the actuator out-of-plane by 14.6 µm after the 1-µm sacrificial layer is removed. The actuator is made with in-situ boron-doped poly-sige films deposited at or below 425 C. Thermal budget of the entire fabrication process is 6 hours at or below 425 C. The actuator is to be used in fully-integrated deformable mirror for adaptive optics. ACKNOWLEDGEMENTS This work has been supported in full by the National Science Foundation Science and Technology Center for Adaptive Optics. The authors would like to thank Michael Helmbrecht for discussions on beam theory and actuator design, and

4 Carrie Low for discussions on poly-sige depositions. The technical support provided by the staff of the UC Berkeley Microfabrication Laboratory is also gratefully acknowledged. REFERENCES 1. M. A. Helmbrecht, U. Srinivasan, C. Rembe, R. T. Howe, and R. S. Muller, Micromirrors for adaptive-optics arrays, Proc. Transducers 2001, pp , A. E. Franke, J. M. Heck, T.-J. King, and R. T. Howe, Polycrystalline silicon-germanium films for integrated microsystems, Journal of Microelectromechanical Systems, Vol. 12, pp , B. C.-Y. Lin, T.-J. King, and R. T. Howe, Optimization of poly-sige deposition processes for modular MEMS integration, Mat. Res. Soc. Symp. Proc., Vol. 782, pp. A2.4.1-A2.4.6, S. A. Bhave, B. L. Bircumshaw, Y.-S. Kim, A. P. Pisano, T.-J. King, and R. T. Howe, Poly-SiGe: a high-q structural material for post-cmos integrated RF MEMS, Solid-State Sensor, Actuator, and Microsystems Workshop (Hilton Head 2002), Hilton Head Island, SC, pp , U. Srinivasan, M. A. Helmbrecht, C. Rembe, R. T. Howe, R. S. Muller et al., Fluidic Self-Assembly of Micromirrors onto Microactuators Using Capillary Forces, Journal of Selected Topics in Quantum Electronics, Vol. 8, pp. 4-11, M. A. Eyoum and T.-J. King, Low resistance silicon-germanium contact technology for modular integration of MEMS with electronics, Journal of Electrochemical Society, Vol 151, No. 3, pp. J21-J25, 2004.

5 (a) 3 flexures (singlelayer poly- SiGe) (b) (c) a: flexure b: micromirror c: bottom electrode d: mirror platform e: anchor f: micromirror g: adhesive h: LTO2 i: LTO1 j: substrate k:sige2 l: SiGe1 m: SiGe0 n: SiGe1 o: passivation Figure 1: Top view (a) and corresponding cross sections of the poly-sige actuator before (b) and after (c) release. Normalized Tip Deflection Normalized Out-of-Plane Deflection W 1 /W 2 =4 W 1 /W 2 =2 W 1 /W 2 =4 W 1 /W 2 = L L 1 /(L 1 / (L 1 +L 2 ) 2 ) W 2 W 1 L 2 L 1 Figure 2: The normalized tip deflections of the bi-width flexures with different dimensions.

6 a: micromirror b: SiGe2 c: narrow segment of the flexure (SiGe1) d: wide segment of the flexure (SiGe1) e: substrate Figure 3: Side view of the actuator after release. (a) (b) (c) (d) Figure 4: Photos of the fabricated devices. (a) A 7-actuator array. Each side of the hexagonal platform is 320 µm. (b) Close-up view of the 7-actuator array (c) One actuator. (d) Close-up view of a 290-µm bi-width flexure.

7 Figure 5: Out-of-plane deflection of the flexure in Figure 4(d) measured by the Wyko interferometer.

Interconnect Issues for Integrated MEMS Technology

Interconnect Issues for Integrated MEMS Technology Interconnect Issues for Integrated MEMS Technology Tsu-Jae King, Roger T. Howe *, Marie-Ange Eyoum and Sunil A. Bhave * Dept. of Electrical Engineering and Computer Sciences, * Berkeley Sensor and Actuator

More information

FABRICATION PROCESSES FOR MAGNETIC MICROACTUATORS WITH POLYSILICON FLEXURES. Jack W. Judy and Richard S. Muller

FABRICATION PROCESSES FOR MAGNETIC MICROACTUATORS WITH POLYSILICON FLEXURES. Jack W. Judy and Richard S. Muller FABRICATION PROCESSES FOR MAGNETIC MICROACTUATORS WITH POLYSILICON FLEXURES Jack W. Judy and Richard S. Muller Berkeley Sensor & Actuator Center (BSAC) Department of EECS, University of California, Berkeley,

More information

MICRO-ELECTRO-MECHANICAL VARIABLE BLAZE GRATINGS

MICRO-ELECTRO-MECHANICAL VARIABLE BLAZE GRATINGS MICRO-ELECTRO-MECHANICAL VARIABLE BLAZE GRATINGS D. M. Burns and V. M. Bright Air Force Institute of Technology Department of Electrical and Computer Engineering Wright-Patterson Air Force Base, OH 45433-7765

More information

Proceedings Post Fabrication Processing of Foundry MEMS Structures Exhibiting Large, Out-of-Plane Deflections

Proceedings Post Fabrication Processing of Foundry MEMS Structures Exhibiting Large, Out-of-Plane Deflections Proceedings Post Fabrication Processing of Foundry MEMS Structures Exhibiting Large, Out-of-Plane Deflections LaVern Starman 1, *, John Walton 1, Harris Hall 1 and Robert Lake 2 1 Sensors Directorate,

More information

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation Micro-Electro-Mechanical Systems (MEMS) Fabrication Fabrication Considerations Stress-Strain, Thin-film Stress, Stiction Special Process Modules for MEMS Bonding, Cavity Sealing, Deep RIE, Spatial forming

More information

CHARACTERIZATION OF ELECTROTHERMAL ACTUATORS AND ARRAYS FABRICATED IN A FOUR-LEVEL, PLANARIZED SURFACE-MICROMACHINED POLYCRYSTALLINE SILICON PROCESS

CHARACTERIZATION OF ELECTROTHERMAL ACTUATORS AND ARRAYS FABRICATED IN A FOUR-LEVEL, PLANARIZED SURFACE-MICROMACHINED POLYCRYSTALLINE SILICON PROCESS CHARACTERIZATION OF ELECTROTHERMAL ACTUATORS AND ARRAYS FABRICATED IN A FOUR-LEVEL, PLANARIZED SURFACE-MICROMACHINED POLYCRYSTALLINE SILICON PROCESS John H. Comtois*, M. Adrian Michalicek*, and Carole

More information

Cambridge University Press A Guide to Hands-on MEMS Design and Prototyping Joel A. Kubby Excerpt More information.

Cambridge University Press A Guide to Hands-on MEMS Design and Prototyping Joel A. Kubby Excerpt More information. 1 Introduction 1.1 Overview of MEMS fabrication Microelectromechanical systems (MEMS) fabrication developed out of the thin-film processes first used for semiconductor fabrication. To understand the unique

More information

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation Micro-Electro-Mechanical Systems (MEMS) Fabrication Fabrication Considerations Stress-Strain, Thin-film Stress, Stiction Special Process Modules for MEMS Bonding, Cavity Sealing, Deep RIE, Spatial forming

More information

Lecture 7 CMOS MEMS. CMOS MEMS Processes. CMOS MEMS Processes. Why CMOS-MEMS? Agenda: CMOS MEMS: Fabrication. MEMS structures can be made

Lecture 7 CMOS MEMS. CMOS MEMS Processes. CMOS MEMS Processes. Why CMOS-MEMS? Agenda: CMOS MEMS: Fabrication. MEMS structures can be made EEL6935 Advanced MEMS (Spring 2005) Instructor: Dr. Huikai Xie CMOS MEMS Agenda: Lecture 7 CMOS MEMS: Fabrication Pre-CMOS Intra-CMOS Post-CMOS Deposition Etching Why CMOS-MEMS? Smart on-chip CMOS circuitry

More information

Integrated Processes. Lecture Outline

Integrated Processes. Lecture Outline Integrated Processes Thara Srinivasan Lecture 14 Picture credit: Lemkin et al. Lecture Outline From reader Bustillo, J. et al., Surface micromachining of MEMS, pp. 1556-9. A.E. Franke et al., Polycrystalline

More information

Surface Micromachining

Surface Micromachining Surface Micromachining Outline Introduction Material often used in surface micromachining Material selection criteria in surface micromachining Case study: Fabrication of electrostatic motor Major issues

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2011

EE C245 ME C218 Introduction to MEMS Design Fall 2011 Lecture Outline EE C245 ME C218 Introduction to MEMS Design Fall 2011 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720

More information

Out-of-plane microstructures using stress engineering of thin films

Out-of-plane microstructures using stress engineering of thin films Out-of-plane microstructures using stress engineering of thin films Chia-Lun Tsai and Albert K. Henning Thayer School of Engineering, Dartmouth College, Hanover, NH 03755-8000 ABSTRACT A new method is

More information

Design and fabrication of MEMS devices using the integration of MUMPs, trench-refilled molding, DRIE and bulk silicon etching processes

Design and fabrication of MEMS devices using the integration of MUMPs, trench-refilled molding, DRIE and bulk silicon etching processes TB, KR, JMM/184987, 3/12/2004 INSTITUTE OF PHYSICS PUBLISHING JOURNAL OF MICROMECHANICS AND MICROENGINEERING J. Micromech. Microeng. 15 (2005) 1 8 doi:10.1088/0960-1317/15/0/000 Design and fabrication

More information

MANUFACTURING OF AN OPTICAL QUALITY MIRROR SYSTEM FOR ADAPTIVE OPTICS

MANUFACTURING OF AN OPTICAL QUALITY MIRROR SYSTEM FOR ADAPTIVE OPTICS Invited Paper MNUFCTURING OF N OPTICL QULITY MIRROR SYSTEM FOR DPTIVE OPTICS Julie. Perreaulta, Paul. Bierden', Mark N. Horensteina, and Thomas G. Bifanoc aelectrical and Computer Engineering, Boston University,

More information

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation Micro-Electro-Mechanical Systems (MEMS) Fabrication Fabrication Considerations Stress-Strain, Thin-film Stress, Stiction Special Process Modules for MEMS Bonding, Cavity Sealing, Deep RIE, Spatial forming

More information

MEMS II: January 23. Lab 1: Pop-up mirror - PolyMUMPS - Thermal actuators - Mirror CoventorWare

MEMS II: January 23. Lab 1: Pop-up mirror - PolyMUMPS - Thermal actuators - Mirror CoventorWare MEMS II: January 23 Lab 1: Pop-up mirror - PolyMUMPS - Thermal actuators - Mirror CoventorWare Microelectromechanical Systems (MEMS) Multi-User MEMS Processes (MUMPS) Example Design Anchor hole 2.0 0.5

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

Surface micromachining and Process flow part 1

Surface micromachining and Process flow part 1 Surface micromachining and Process flow part 1 Identify the basic steps of a generic surface micromachining process Identify the critical requirements needed to create a MEMS using surface micromachining

More information

An SOI Process for Fabrication. of Solar Cells, Transistors and Electrostatic Actuators. Berkeley Sensor and Actuator Center

An SOI Process for Fabrication. of Solar Cells, Transistors and Electrostatic Actuators. Berkeley Sensor and Actuator Center An SOI Process for Fabrication of Solar Cells, Transistors and Electrostatic Actuators Colby L Bellew, Seth Hollar and K.S.J. Pister University of California at Berkeley Berkeley Sensor and Actuator Center

More information

Dr. Lynn Fuller Webpage:

Dr. Lynn Fuller Webpage: ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Microelectromechanical Systems (MEMs) Process Integration Dr. Lynn Fuller Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester,

More information

Lecture 5. SOI Micromachining. SOI MUMPs. SOI Micromachining. Silicon-on-Insulator Microstructures. Agenda:

Lecture 5. SOI Micromachining. SOI MUMPs. SOI Micromachining. Silicon-on-Insulator Microstructures. Agenda: EEL6935 Advanced MEMS (Spring 2005) Instructor: Dr. Huikai Xie SOI Micromachining Agenda: SOI Micromachining SOI MUMPs Multi-level structures Lecture 5 Silicon-on-Insulator Microstructures Single-crystal

More information

Surface Micromachining II

Surface Micromachining II Surface Micromachining II Dr. Thara Srinivasan Lecture 4 Picture credit: Sandia National Lab Lecture Outline Reading From reader: Bustillo, J. et al., Surface Micromachining of Microelectromechanical Systems,

More information

Lecture 3: Integrated Processes

Lecture 3: Integrated Processes Lecture 3: Integrated Processes Single-Crystal Silicon Process Integration Polysilicon Micromachining Process Integrated CMOS Micromachining Process ENE 5400, Spring 2004 1 Single Crystal Silicon ENE 5400,

More information

Surface Micromachining

Surface Micromachining Surface Micromachining Micro Actuators, Sensors, Systems Group University of Illinois at Urbana-Champaign Outline Definition of surface micromachining Most common surface micromachining materials - polysilicon

More information

Latching Shape Memory Alloy Microactuator

Latching Shape Memory Alloy Microactuator Latching Shape Memory Alloy Microactuator ENMA490, Fall 00 S. Cabrera, N. Harrison, D. Lunking, R. Tang, C. Ziegler, T. Valentine Outline Background Problem Project Development Design Evaluation Applications

More information

Fabrication Technology, Part II

Fabrication Technology, Part II EEL5225: Principles of MEMS Transducers (Fall 2003) Fabrication Technology, Part II Agenda: Process Examples TI Micromirror fabrication process SCREAM CMOS-MEMS processes Wafer Bonding LIGA Reading: Senturia,

More information

Microstructures using RF sputtered PSG film as a sacrificial layer in surface micromachining

Microstructures using RF sputtered PSG film as a sacrificial layer in surface micromachining Sādhanā Vol. 34, Part 4, August 2009, pp. 557 562. Printed in India Microstructures using RF sputtered PSG film as a sacrificial layer in surface micromachining VIVEKANAND BHATT 1,, SUDHIR CHANDRA 1 and

More information

Probing Interfacial Contact via MEMS-based Microinstrumentation

Probing Interfacial Contact via MEMS-based Microinstrumentation Probing Interfacial Contact via MEMS-based Microinstrumentation Roya Maboudian Department of Chemical & Biomolecular Engineering Berkeley Sensor and Actuator Center (BSAC) Center of Integrated Nanomechanical

More information

Effects of Film Thickness on the Yielding Behavior of Polycrystalline Gold Films

Effects of Film Thickness on the Yielding Behavior of Polycrystalline Gold Films Effects of Film Thickness on the Yielding Behavior of Polycrystalline Gold Films H.D. Espinosa and B.C. Prorok Department of Mechanical Engineering, Northwestern University Evanston, IL 628-3111, USA ABSTRACT

More information

Welcome MNT Conference 1 Albuquerque, NM - May 2010

Welcome MNT Conference 1 Albuquerque, NM - May 2010 Welcome MNT Conference 1 Albuquerque, NM - May 2010 Introduction to Design Outline What is MEMs Design General Considerations Application Packaging Process Flow What s available Sandia SUMMiT Overview

More information

Control of buckling in large nanomembranes using engineered support structures

Control of buckling in large nanomembranes using engineered support structures Control of buckling in large nanomembranes using engineered support structures Eiji Iwase 1, Pui-Chuen Hui 1, David Woolf 1, Alejandro W. Rodriguez 1,2, Steven G. Johnson 2, Federico Capasso 1, Marko Loncar

More information

Micro-Scale Engineering I Microelectromechanical Systems (MEMS) Y. C. Lee

Micro-Scale Engineering I Microelectromechanical Systems (MEMS) Y. C. Lee Micro-Scale Engineering I Microelectromechanical Systems (MEMS) Y. C. Lee Department of Mechanical Engineering University of Colorado Boulder, CO 80309-0427 leeyc@colorado.edu September 2, 2008 1 Three

More information

Co-Evolution of Stress and Structure During Growth of Polycrystalline Thin Films

Co-Evolution of Stress and Structure During Growth of Polycrystalline Thin Films Co-Evolution of Stress and Structure During Growth of Polycrystalline Thin Films Carl V. Thompson and Hang Z. Yu* Dept. of Materials Science and Engineering MIT, Cambridge, MA, USA Effects of intrinsic

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 9/13/2007 Fabrication Technology Lecture 1 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world)

More information

ASIM-X MEMS-Specific Design Rules

ASIM-X MEMS-Specific Design Rules ASIM-X MEMS-Specific Design Rules Version 2 Revised April 5, 2006. This is a beta version, subject to change. Revised by G. K. Fedder, Carnegie Mellon University. 1 Process Overview ASIM-X, an acronym

More information

200mm Next Generation MEMS Technology update. Florent Ducrot

200mm Next Generation MEMS Technology update. Florent Ducrot 200mm Next Generation MEMS Technology update Florent Ducrot The Most Exciting Industries on Earth Semiconductor Display Solar 20,000,000x reduction in COST PER TRANSISTOR in 30 years 1 20x reduction in

More information

MOLYBDENUM AS A GATE ELECTRODE FOR DEEP SUB-MICRON CMOS TECHNOLOGY

MOLYBDENUM AS A GATE ELECTRODE FOR DEEP SUB-MICRON CMOS TECHNOLOGY Mat. Res. Soc. Symp. Vol. 611 2000 Materials Research Society MOLYBDENUM AS A GATE ELECTRODE FOR DEEP SUB-MICRON CMOS TECHNOLOGY Pushkar Ranade, Yee-Chia Yeo, Qiang Lu, Hideki Takeuchi, Tsu-Jae King, Chenming

More information

EE C245 ME C218 Introduction to MEMS Design

EE C245 ME C218 Introduction to MEMS Design EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 10: Surface

More information

Thomas M. Adams Richard A. Layton. Introductory MEMS. Fabrication and Applications. Springer

Thomas M. Adams Richard A. Layton. Introductory MEMS. Fabrication and Applications. Springer Thomas M. Adams Richard A. Layton Introductory MEMS Fabrication and Applications Springer Contents Preface xiü Part I Fabrication Chapter 1: Introduction 3 1.1 What are MEMS? 3 1.2 Why MEMS? 4 1.2.1. Low

More information

Preface Preface to First Edition

Preface Preface to First Edition Contents Foreword Preface Preface to First Edition xiii xv xix CHAPTER 1 MEMS: A Technology from Lilliput 1 The Promise of Technology 1 What Are MEMS or MST? 2 What Is Micromachining? 3 Applications and

More information

Microfabrication of Heterogeneous, Optimized Compliant Mechanisms SUNFEST 2001 Luo Chen Advisor: Professor G.K. Ananthasuresh

Microfabrication of Heterogeneous, Optimized Compliant Mechanisms SUNFEST 2001 Luo Chen Advisor: Professor G.K. Ananthasuresh Microfabrication of Heterogeneous, Optimized Compliant Mechanisms SUNFEST 2001 Luo Chen Advisor: Professor G.K. Ananthasuresh Fig. 1. Single-material Heatuator with selective doping on one arm (G.K. Ananthasuresh)

More information

HYDROGEN PEROXIDE ETCHING AND STABILITY OF P-TYPE POLY-SIGE FILMS

HYDROGEN PEROXIDE ETCHING AND STABILITY OF P-TYPE POLY-SIGE FILMS HYDROGEN PEROXIDE ETCHING AND STABILITY OF P-TYPE POLY-SIGE FILMS 1 B.L. Bircumshaw, 2 M.L. Wasilik, 2 E.B. Kim, 2 Y.R. Su, 2 H. Takeuchi, 2 C.W. Low, 2 G. Liu, 1,2 A.P. Pisano, 2 T.-J. King, and 2,1 R.T.

More information

Change in stoichiometry

Change in stoichiometry Measurement of Gas Sensor Performance Gas sensing materials: 1. Sputtered ZnO film (150 nm (Massachusetts Institute of Technology) 2. Sputtered SnO 2 film (60 nm) (Fraunhofer Institute of Physical Measurement

More information

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications Journal of ELECTRONIC MATERIALS, Vol. 31, No. 5, 2002 Special Issue Paper Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems

More information

Silicon Nitride Biaxial Pointing Mirrors with Stiffening Ribs

Silicon Nitride Biaxial Pointing Mirrors with Stiffening Ribs Silicon Nitride Biaxial Pointing Mirrors with Stiffening Ribs Todd J. Kaiser, B. Jeffrey Lutzenberger, Robert A. Friholm, Phillip A. Himmer, David L. Dickensheets Department of Electrical and Computer

More information

IC-Compatible Technologies for Optical MEMS

IC-Compatible Technologies for Optical MEMS < * IC-Compatible Technologies for Optical MEMS Thomas W. Krygowski, Jef& J. Sniegowsk~ Intelligent Mikromachine Department, Sandia National Laboratories, Albuquerque, New Mexico Optical MicroElectroMechanical

More information

Problem 1 Lab Questions ( 20 points total)

Problem 1 Lab Questions ( 20 points total) Problem 1 Lab Questions ( 20 points total) (a) (3 points ) In our EE143 lab, we use Phosphorus for the source and drain diffusion. However, most advanced processes use Arsenic. What is the advantage of

More information

4. Process Integration: Case Studies

4. Process Integration: Case Studies Case Study #2: FCantilevered Microgripper Surface Machined MEMS Case Study #2: FCantilevered Microgripper Sandia Lucent Sandia Integrated Accelerometers Optomechanical Systems Integrated Sensors 1 Bulk

More information

Regents of the University of California

Regents of the University of California Surface-Micromachining Process Flow Photoresist Sacrificial Oxide Structural Polysilcon Deposit sacrificial PSG: Target = 2 m 1 hr. 40 min. LPCVD @450 o C Densify the PSG Anneal @950 o C for 30 min. Lithography

More information

Tensile Testing of Polycrystalline Silicon Thin Films Using Electrostatic

Tensile Testing of Polycrystalline Silicon Thin Films Using Electrostatic Paper Tensile Testing of Polycrystalline Silicon Thin Films Using Electrostatic Force Grip Member Toshiyuki Tsuchiya (Toyota Central Labs., Inc.) Member Osamu Tabata (Ritsumeikan University) Jiro Sakata

More information

Patterned heteroepitaxial SiGe thin films through. UV Excimer Laser radiation

Patterned heteroepitaxial SiGe thin films through. UV Excimer Laser radiation Patterned heteroepitaxial SiGe thin films through UV Excimer Laser radiation,, F.Gontad, J.C.Conde, E.Martín 1, A.Benedetti 2, C.Serra 2, J.Serra, P.González, B.León Departamento de Física Aplicada 1 Dpto.

More information

Amorphous and Polycrystalline Thin-Film Transistors

Amorphous and Polycrystalline Thin-Film Transistors Part I Amorphous and Polycrystalline Thin-Film Transistors HYBRID AMORPHOUS AND POLYCRYSTALLINE SILICON DEVICES FOR LARGE-AREA ELECTRONICS P. Mei, J. B. Boyce, D. K. Fork, G. Anderson, J. Ho, J. Lu, Xerox

More information

Passive TCF Compensation in High Q Silicon Micromechanical Resonators

Passive TCF Compensation in High Q Silicon Micromechanical Resonators Passive TCF Compensation in High Q Silicon Micromechanical Resonators A.K. Samarao, G. Casinovi and F. Ayazi IEEE International Conference on Micro Electro Mechanical Systems pp. 116 119, January 2010

More information

Planarization of a CMOS die for an integrated metal MEMS

Planarization of a CMOS die for an integrated metal MEMS Planarization of a CMOS die for an integrated metal MEMS Hocheol Lee*, Michele H. Miller +, Thomas G. Bifano Boston University ABSTRACT This paper describes a planarization procedure to achieve a flat

More information

6.777J/2.732J Design and Fabrication of Microelectromechanical Devices Spring Term Solution to Problem Set 2 (16 pts)

6.777J/2.732J Design and Fabrication of Microelectromechanical Devices Spring Term Solution to Problem Set 2 (16 pts) 6.777J/2.732J Design and Fabrication of Microelectromechanical Devices Spring Term 2007 By Brian Taff (Adapted from work by Feras Eid) Solution to Problem Set 2 (16 pts) Issued: Lecture 4 Due: Lecture

More information

Microfabrication of Integrated Circuits

Microfabrication of Integrated Circuits Microfabrication of Integrated Circuits OUTLINE History Basic Processes Implant; Oxidation; Photolithography; Masks Layout and Process Flow Device Cross Section Evolution Lecture 38, 12/05/05 Reading This

More information

Complexity of IC Metallization. Early 21 st Century IC Technology

Complexity of IC Metallization. Early 21 st Century IC Technology EECS 42 Introduction to Digital Electronics Lecture # 25 Microfabrication Handout of This Lecture. Today: how are Integrated Circuits made? Silicon wafers Oxide formation by growth or deposition Other

More information

Surface Micromachining Process for the Integration of AlN Piezoelectric Microstructures

Surface Micromachining Process for the Integration of AlN Piezoelectric Microstructures Surface Micromachining Process for the Integration of AlN Piezoelectric Microstructures Saravanan. S, Erwin Berenschot, Gijs Krijnen and Miko Elwenspoek Transducers Science and Technology Laboratory University

More information

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther EECS 40 Spring 2003 Lecture 19 Microfabrication 4/1/03 Prof. ndy Neureuther How are Integrated Circuits made? Silicon wafers Oxide formation by growth or deposition Other films Pattern transfer by lithography

More information

General Introduction to Microstructure Technology p. 1 What is Microstructure Technology? p. 1 From Microstructure Technology to Microsystems

General Introduction to Microstructure Technology p. 1 What is Microstructure Technology? p. 1 From Microstructure Technology to Microsystems General Introduction to Microstructure Technology p. 1 What is Microstructure Technology? p. 1 From Microstructure Technology to Microsystems Technology p. 9 The Parallels to Microelectronics p. 15 The

More information

Applications of High-Performance MEMS Pressure Sensors Based on Dissolved Wafer Process

Applications of High-Performance MEMS Pressure Sensors Based on Dissolved Wafer Process Applications of High-Performance MEMS Pressure Sensors Based on Dissolved Wafer Process Srinivas Tadigadapa and Sonbol Massoud-Ansari Integrated Sensing Systems (ISSYS) Inc., 387 Airport Industrial Drive,

More information

Structural changes of polycrystalline silicon layers during high temperature annealing

Structural changes of polycrystalline silicon layers during high temperature annealing Structural changes of polycrystalline silicon layers during high temperature annealing D. Lysáček, L. Válek ON SEMICONDUCTOR CZECH REPUBLIC, Rožnov p. R., david.lysacek@onsemi.com Abstract The structure

More information

Today s Class. Materials for MEMS

Today s Class. Materials for MEMS Lecture 2: VLSI-based Fabrication for MEMS: Fundamentals Prasanna S. Gandhi Assistant Professor, Department of Mechanical Engineering, Indian Institute of Technology, Bombay, Recap: Last Class What is

More information

Procedia Chemistry 1 (2009) Proceedings of the Eurosensors XXIII conference

Procedia Chemistry 1 (2009) Proceedings of the Eurosensors XXIII conference Procedia Chemistry 1 (2009) 609 613 Procedia Chemistry www.elsevier.com/locate/procedia Proceedings of the Eurosensors XXIII conference Thermal Characterization of Polycrystalline CVD Diamond Thin Films

More information

Calibration technique for MEMS membrane type strain sensors

Calibration technique for MEMS membrane type strain sensors Calibration technique for MEMS membrane type strain sensors Li Cao a, Tae Song Kim b, Jia Zhou a, Susan C. Mantell a *, and Dennis L. Polla b a Dept. of Mechanical Engineering, University of Minnesota,

More information

Why Probes Look the Way They Do Concepts and Technologies of AFM Probes Manufacturing

Why Probes Look the Way They Do Concepts and Technologies of AFM Probes Manufacturing Agilent Technologies AFM e-seminar: Understanding and Choosing the Correct Cantilever for Your Application Oliver Krause NanoWorld Services GmbH All mentioned company names and trademarks are property

More information

Process Integration. MEMS Release Techniques Sacrificial Layer Removal Substrate Undercut

Process Integration. MEMS Release Techniques Sacrificial Layer Removal Substrate Undercut Process Integration Self-aligned Techniques LOCOS- self-aligned channel stop Self-aligned Source/Drain Lightly Doped Drain (LDD) Self-aligned silicide (SALICIDE) Self-aligned oxide gap MEMS Release Techniques

More information

Chapter 4 Fabrication Process of Silicon Carrier and. Gold-Gold Thermocompression Bonding

Chapter 4 Fabrication Process of Silicon Carrier and. Gold-Gold Thermocompression Bonding Chapter 4 Fabrication Process of Silicon Carrier and Gold-Gold Thermocompression Bonding 4.1 Introduction As mentioned in chapter 2, the MEMs carrier is designed to integrate the micro-machined inductor

More information

MEMS Devices. Fraunhofer Institute for Silicon Technology ISIT. Itzehoe, Germa. any

MEMS Devices. Fraunhofer Institute for Silicon Technology ISIT. Itzehoe, Germa. any Examples of CMP Processess for the Manufacturing of MEMS Devices Gerfried Zwicke er Fraunhofer Institute for Silicon Technology ISIT Itzehoe, Germa any gerfried.zwicker@isit.fraunhofer.de Contents MEMS

More information

Tutorial on Micro Electro Mechanical Systems (MEMS)

Tutorial on Micro Electro Mechanical Systems (MEMS) Tutorial on Micro Electro Mechanical Systems (MEMS) Bruce Kim Department of Electrical, 1 ! What is MEMS! Why MEMS! Applications! MEMS Fabrication! MEMS Packaging! Conclusion MEMS 2 WHAT IS MEMS! MEMS

More information

zyvex TEM Sample Lift-out Using the Zyvex Nanoprober System By Kimberly Tuck, Zyvex Corporation

zyvex TEM Sample Lift-out Using the Zyvex Nanoprober System By Kimberly Tuck, Zyvex Corporation TEM Sample Lift-out Using the Zyvex Nanoprober System By Kimberly Tuck, Zyvex Corporation Introduction The Zyvex Nanoprober System, coupled with a focused ion beam (FIB) tool, is a complete solution for

More information

Process Integration. NMOS Generic NMOS Process Flow. CMOS - The MOSIS Process Flow

Process Integration. NMOS Generic NMOS Process Flow. CMOS - The MOSIS Process Flow Process Integration Self-aligned Techniques LOCOS- self-aligned channel stop Self-aligned Source/Drain Lightly Doped Drain (LDD) Self-aligned silicide (SALICIDE) Self-aligned oxide gap MEMS Release Techniques

More information

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook:

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook: HOMEWORK 4 and 5 March 15, 2009 Homework is due on Monday March 30, 2009 in Class. Chapter 7 Answer the following questions from the Course Textbook: 7.2, 7.3, 7.4, 7.5, 7.6*, 7.7, 7.9*, 7.10*, 7.16, 7.17*,

More information

Regents of the University of California 1

Regents of the University of California 1 Electroplating: Metal MEMS Nickel Surface-Micromachining Process Flow Photoresist Wafer Release Etchant Use electroplating to obtain metal μstructures When thick: call it LIGA Pros: fast low temp deposition,

More information

68 JOURNAL OF MICROELECTROMECHANICAL SYSTEMS, VOL. 16, NO. 1, FEBRUARY 2007

68 JOURNAL OF MICROELECTROMECHANICAL SYSTEMS, VOL. 16, NO. 1, FEBRUARY 2007 68 JOURNAL OF MICROELECTROMECHANICAL SYSTEMS, VOL. 16, NO. 1, FEBRUARY 2007 Characterization of Polycrystalline Silicon- Germanium Film Deposition for Modularly Integrated MEMS Applications Carrie W. Low,

More information

2242 ieee transactions on ultrasonics, ferroelectrics, and frequency control, vol. 52, no. 12, december 2005

2242 ieee transactions on ultrasonics, ferroelectrics, and frequency control, vol. 52, no. 12, december 2005 2242 ieee transactions on ultrasonics, ferroelectrics, and frequency control, vol. 52, no. 12, december 2005 Capacitive Micromachined Ultrasonic Transducers: Fabrication Technology Arif Sanlı Ergun, Member,

More information

Lecture 10: MultiUser MEMS Process (MUMPS)

Lecture 10: MultiUser MEMS Process (MUMPS) MEMS: Fabrication Lecture 10: MultiUser MEMS Process (MUMPS) Prasanna S. Gandhi Assistant Professor, Department of Mechanical Engineering, Indian Institute of Technology, Bombay, 1 Recap Various VLSI based

More information

Lecture #18 Fabrication OUTLINE

Lecture #18 Fabrication OUTLINE Transistors on a Chip Lecture #18 Fabrication OUTLINE IC Fabrication Technology Introduction the task at hand Doping Oxidation Thin-film deposition Lithography Etch Lithography trends Plasma processing

More information

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam PHYS 534 (Fall 2008) Process Integration Srikar Vengallatore, McGill University 1 OUTLINE Examples of PROCESS FLOW SEQUENCES >Semiconductor diode >Surface-Micromachined Beam Critical Issues in Process

More information

4/10/2012. Introduction to Microfabrication. Fabrication

4/10/2012. Introduction to Microfabrication. Fabrication Introduction to Microfabrication Fabrication 1 MEMS Fabrication Flow Basic Process Flow in Micromachining Nadim Maluf, An introduction to Microelectromechanical Systems Engineering 2 Thin Film Deposition

More information

Bulk Silicon Micromachining

Bulk Silicon Micromachining Bulk Silicon Micromachining Micro Actuators, Sensors, Systems Group University of Illinois at Urbana-Champaign Outline Types of bulk micromachining silicon anisotropic etching crystal orientation isotropic

More information

Anirban Som

Anirban Som Anirban Som 08-02-14 Introduction Few electronic conductors are both stretchable and transparent. The existing stretchable and transparent electrodes, such as graphene sheets, carbon nanotube films and

More information

NANOTUBE MICRO-OPTO-MECHANICAL SYSTEMS

NANOTUBE MICRO-OPTO-MECHANICAL SYSTEMS NANOTUBE MICRO-OPTO-MECHANICAL SYSTEMS B. Panchapakesan Delaware MEMS and Nanotech Laboratory, University of Delaware, Newark, DE 19716, USA (Tel: 001-302-831-4062; Email: baloo@ece.udel.edu) INTRODUCTION

More information

MICROCANTILEVER-BASED WEATHER STATION FOR TEMPERATURE, HUMIDITY AND WIND VELOCITY MEASUREMENT. Pingtung, Taiwan.

MICROCANTILEVER-BASED WEATHER STATION FOR TEMPERATURE, HUMIDITY AND WIND VELOCITY MEASUREMENT. Pingtung, Taiwan. Stresa, Italy, 5-7 April 007 MICROCANTILEVER-BASED WEATHER STATION FOR TEMPERATURE, HUMIDITY AND WIND VELOCITY MEASUREMENT Chia-Yen Lee 1, Rong-Hua Ma, Yu-Hsiang Wang 1, Po-Cheng Chou 3, Lung-Ming Fu 4

More information

On-chip MEMS for automated chip-to-chip assembly

On-chip MEMS for automated chip-to-chip assembly On-chip MEMS for automated chip-to-chip assembly Dr. Ir. Marcel Tichem, Ir. Tjitte-Jelte Peters, Kai Wu MSc TU Delft, Precision and Microsystems Engineering Photonics Event, Koningshof, Veldhoven, 2 June

More information

Mechanical Engineering and Applied Mechanics University of Pennsylvania. A glimpse of MEMS. Presented to MEAM 550 (Fall 2001) students

Mechanical Engineering and Applied Mechanics University of Pennsylvania. A glimpse of MEMS. Presented to MEAM 550 (Fall 2001) students Mechanical Engineering and Applied Mechanics University of Pennsylvania A glimpse of MEMS Presented to MEAM 550 (Fall 2001) students G. K. Ananthasuresh September 17, 2001 What s in a name? Micro-Electro-Mechanical

More information

Regents of the University of California

Regents of the University of California Topography Issues Degradation of lithographic resolution PR step coverage, streaking Thickness differences pose problems for reduction steppers Direction of Spin PR PR PR Stringers Problematic when using

More information

Micro/Nano Mechanical Systems Lab Class#16

Micro/Nano Mechanical Systems Lab Class#16 Microsystems Laboratory Micro/Nano Mechanical Systems Lab Class#16 Liwei Lin Professor, Dept. of Mechanical Engineering Co-Director, Berkeley Sensor and Actuator Center The University of California, Berkeley,

More information

Chapter 2 Capacitive Sensing Electrodes

Chapter 2 Capacitive Sensing Electrodes Chapter 2 Capacitive Sensing Electrodes The capacitive sensing electrodes on the top of a CMOS chip serve as an interface between the microelectronic readout system and the biological/chemical analyte.

More information

Single crystal silicon supported thin film micromirrors for optical applications

Single crystal silicon supported thin film micromirrors for optical applications Single crystal silicon supported thin film micromirrors for optical applications Zhimin J. Yao* Noel C. MacDonald Cornell University School of Electrical Engineering and Cornell Nanofabrication Facility

More information

Sensors and Actuators Designed and Fabricated in a. Micro-Electro-Mechanical-Systems (MEMS) Course. Using Standard MEMS Processes

Sensors and Actuators Designed and Fabricated in a. Micro-Electro-Mechanical-Systems (MEMS) Course. Using Standard MEMS Processes Sensors and Actuators Designed and Fabricated in a Micro-Electro-Mechanical-Systems (MEMS) Course Using Standard MEMS Processes M.G. Guvench University of Southern Maine guvench@maine.edu Abstract Use

More information

J. Vac. Sci. Technol. B 29 2, Mar/Apr /2011/29 2 /021401/6/$ American Vacuum Society

J. Vac. Sci. Technol. B 29 2, Mar/Apr /2011/29 2 /021401/6/$ American Vacuum Society Seal and encapsulate cavities for complementary metal-oxide-semiconductor microelectromechanical system thermoelectric power generators Jin Xie a Institute of Microelectronics, Agency for Science, Technology

More information

Thin film shape memory alloys for optical sensing applications

Thin film shape memory alloys for optical sensing applications Thin film shape memory alloys for optical sensing applications Y. Q. Fu, 1 J. K. Luo, 1,2 W.M. Huang, 3 A.J. Flewitt 1 and W.I. Milne 1 1 Department of Engineering, Cambridge University, 9 JJ Thomson Ave,

More information

Chapter 2 OVERVIEW OF MEMS

Chapter 2 OVERVIEW OF MEMS 6 Chapter 2 OVERVIEW OF MEMS 2.1 MEMS and Microsystems The term MEMS is an abbreviation of microelectromechanical system. MEMS contains components ofsizes in 1 micrometer to 1 millimeter. The core element

More information

The Active Dissolved Wafer Process (ADWP) for Integrating single Crystal Si MEMS with CMOS Circuits

The Active Dissolved Wafer Process (ADWP) for Integrating single Crystal Si MEMS with CMOS Circuits JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.2, NO. 4, DECEMBER, 2002 273 The Active Dissolved Wafer Process (ADWP) for Integrating single Crystal Si MEMS with CMOS Circuits Karl J. Ma, Yogesh

More information

SiGeC Cantilever Micro Cooler

SiGeC Cantilever Micro Cooler Mat. Res. Soc. Symp. Proc. Vol. 793 2004 Materials Research Society S11.3.1 SiGeC Cantilever Micro Cooler Gehong Zeng, Ali Shakouri 1 *, Edward Croke 2, Yan Zhang 1, James Christofferson 1 and John E.

More information

Tackling the optical interconnection challenge for the Integrated Photonics Revolution

Tackling the optical interconnection challenge for the Integrated Photonics Revolution Tackling the optical interconnection challenge for the Integrated Photonics Revolution Dr. Ir. TU Delft, Precision and Microsystems Engineering m.tichem@tudelft.nl Microfabrication and MEMS Si microfabrication

More information

A modular process for integrating thick polysilicon MEMS devices with sub-micron CMOS

A modular process for integrating thick polysilicon MEMS devices with sub-micron CMOS A modular process for integrating thick polysilicon MEMS devices with sub-micron CMOS John Yasaitis a, Michael Judy a, Tim Brosnihan a, Peter Garone a, Nikolay Pokrovskiy a, Debbie Sniderman a,scottlimb

More information

Design and fabrication of a CMOS MEMS logic gate

Design and fabrication of a CMOS MEMS logic gate Design and fabrication of a CMOS MEMS logic gate Chun-Yin Tsai a, Tsung-Lin Chen a,hsin-haoliao b, Chen-Fu Lin b and Ying-Zong Juang b a Dept. of Mechanical Engineering, National Chiao Tung University,

More information