Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley

Size: px
Start display at page:

Download "Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley"

Transcription

1 Nonplanar Metallization Planar Metallization

2 Passivation Metal 5 (copper) Metal 3 (copper) Interlevel dielectric (ILD) Via (tungsten) Metal 1 (copper) Tungsten Plug to Si Silicon

3 Caps and Plugs oxide oxide The plug material can be same as interconnect material (e.g. Cu) or different material (e.g. W)

4 Non-Optimized Planarization example

5 Good Planarization Example Five Level Metallization for Company C W plugs for contacts and vias W for metal 1, Al/Ti metal 2,3, 4; Al metal 3 CMP for all dielectrics.

6 Surface Planarization Benefits for Lithography Processes: Lower Depth-of-Focus requirement Reduced optical reflection effects on resist profiles Reduced resist thickness variation over steps => Benefit for Etching Processes: Reduced over-etch time required due to steps Benefit for Deposition Processes: Improved step coverage for subsequent layer deposition topview

7 Planarization Factor = 1- (final step height/initial step height)

8 Planarization: A bad example Topography management must start at lower layers!

9 Planarization Techniques 1. Spin-on glass or polyimide 2. Deposit and Etchback 3. Chemical-Mechanical Polishing (CMP)

10 Spin-On Glass (SOG)

11 SOG Annealing Cure: oC -> inorganic backbone polymer exact composition depends on SOG type oC -> densified glass (inorganic SOGs) can be performed in N2, O2 or steam steam allows densification to occur at lower temperatures

12 Polyimides Polymers: excellent thermal stability (up to 450oC) good dielectric properties ( r=3.3, =1016 -cm) superior chemical resistance

13 Deposit and Etchback 1. Deposit thick oxide layer ( nm) 2. Spin on resist or polymer to planarize surface 3. Etch back with a process that has equal oxide and resist/polymer etch rates (e.g. CF4 + O2 dry etch) (4. Deposit second oxide layer) Simple process, requiring equipment and materials already available in the lab

14 Chemical Mechanical Polishing (CMP) Wafer is polished using a slurry containing silica abrasives (10-90 nm particle size) etching agents (e.g. dilute HF) Backing film provides elasticity between carrier and wafer Polishing pad made of polyurethane, with 1 mm perforations rough surface to hold slurry

15 CMP Configurations Rotating wafer Rotating pad Rotating Wafer Linear track pad

16 Synergism of mechanical polishing and chemical etching give enhanced CMP rate Nathan Cheung Professor NEE243S10 Cheung, U.C.Lec Berkeley 24 Moon, PhD thesis, UCB,

17 CMP Rate Preston Model: Local Removal rate R = Kp P v where P = local applied pressure v = relative pad-wafer velocity Kp = Preston coefficient [unit in pressure-1] function of film hardness, Young s modulus, slurry, pad composition and structure

18 CMP Selectivity Boron Nitride Silicon Nitride *Boron nitride is a very hard material, next to diamond Nathan Cheung Professor NEE243S10 Cheung, U.C.Lec Berkeley 24 18

19 Problems encountered in CMP Pattern dependence

20 CMP Endpoint Detection (1) Polisher Motor Current (2) Optical Interference Nathan Cheung Professor NEE243S10 Cheung, U.C.Lec Berkeley 24 20

21 CMP Application Example RIE of Cu difficult due to low vapor pressure of by-products => Cu lines formed by CMP Cu has to be encapsulated by a liner (e.g. TiN) to prevent out-diffusion into SiO2 and Si

22 Single Damascene Process ILD = Inter-Level Dielectric

23 Dual Damascene Cu Metallization with Diffusion Barrier Cu

24 Dual Damascene Process Sequence

25

26 SUMMARY OF METALIZATION AND PLANARIZATION MODULE Interconnects, Contacts, and Dielectrics Electrical resistivity, Contact resistivity, RC time constant Metal failure mechanisms- Al spiking, Electromigration Metal Preparation- PVD, CVD,Plating,Metal-Si reaction (Silicides) Dielectric Preparation - CVD, SOG Why planarization - Litho, Deposition, and Etch considerations Planarization techniques - SOG, Etchback, polymers, CMP Chemical Mechanical Polishing (CMP) - qualitative Single and Dual Damascene Process

Czochralski Crystal Growth

Czochralski Crystal Growth Czochralski Crystal Growth Crystal Pulling Crystal Ingots Shaping and Polishing 300 mm wafer 1 2 Advantage of larger diameter wafers Wafer area larger Chip area larger 3 4 Large-Diameter Wafer Handling

More information

EE BACKEND TECHNOLOGY - Chapter 11. Introduction

EE BACKEND TECHNOLOGY - Chapter 11. Introduction 1 EE 212 FALL 1999-00 BACKEND TECHNOLOGY - Chapter 11 Introduction Backend technology: fabrication of interconnects and the dielectrics that electrically and physically separate them. Aluminum N+ Early

More information

Copper Interconnect Technology

Copper Interconnect Technology Tapan Gupta Copper Interconnect Technology i Springer Contents 1 Introduction 1 1.1 Trends and Challenges 2 1.2 Physical Limits and Search for New Materials 5 1.3 Challenges 6 1.4 Choice of Materials 7

More information

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley Microstructure of Electronic Materials Amorphous materials Single-Crystal Material 1 The Si Atom The Si Crystal diamond structure High-performance semiconductor devices require defect-free crystals 2 Crystallographic

More information

The History & Future of

The History & Future of The History & Future of CMP CMPUG July 2008 Karey Holland, Ph.D. kholland@nexplanar.com Ken Cadien, Ph.D. University of Alberta kcadien@ualberta.ca http://www.nexplanar.com http://www.ualberta.ca/ Outline

More information

ECE321 Electronics I

ECE321 Electronics I ECE321 Electronics I Lecture 19: CMOS Fabrication Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Tuesday 2:00-3:00PM or by appointment E-mail: payman@ece.unm.edu Slide: 1 Miller Effect Interconnect

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time Etching Dry etch (anisotropic) SiO

More information

1.1 Background Cu Dual Damascene Process and Cu-CMP

1.1 Background Cu Dual Damascene Process and Cu-CMP Chapter I Introduction 1.1 Background 1.1.1 Cu Dual Damascene Process and Cu-CMP In semiconductor manufacturing, we always directed toward adding device speed and circuit function. Traditionally, we focused

More information

Interconnects OUTLINE

Interconnects OUTLINE Interconnects 1 Interconnects OUTLINE 1. Overview of Metallization 2. Introduction to Deposition Methods 3. Interconnect Technology 4. Contact Technology 5. Refractory Metals and their Silicides Reading:

More information

Chapter 4 : ULSI Process Integration (0.18 m CMOS Process)

Chapter 4 : ULSI Process Integration (0.18 m CMOS Process) Chapter : ULSI Process Integration (0.8 m CMOS Process) Reference. Semiconductor Manufacturing Technology : Michael Quirk and Julian Serda (00). - (00). Semiconductor Physics and Devices- Basic Principles(/e)

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

Notable Trends in CMP: Past, Present and Future

Notable Trends in CMP: Past, Present and Future Notable Trends in CMP: Past, Present and Future Semiconductor International February 15 th, 2007 Pete Singer Editor-in-Chief Levitronix CMP Users Conference 2007 April 1988: Etchback, SOG November 1990:

More information

IC Fabrication Technology Part III Devices in Semiconductor Processes

IC Fabrication Technology Part III Devices in Semiconductor Processes EE 330 Lecture 10 IC Fabrication Technology Part III Metalization and Interconnects Parasitic Capacitances Back-end Processes Devices in Semiconductor Processes Resistors Diodes Review from Last Lecture

More information

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA Overview of CMP for TSV Applications Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA Outline TSV s and the Role of CMP TSV Pattern and Fill TSV Reveal (non-selective)

More information

Lecture 10. Metallization / Back-end technology (BEOL)

Lecture 10. Metallization / Back-end technology (BEOL) Lecture 10 Metallization / Back-end technology (BEOL) Lecture 9: Metallization and BEOL Metallization Technology Evaporation Sputtering Back End Of the Line (BEOL) ITRS Requirements Evolution of Metallization

More information

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials Interconnects Outline Interconnect scaling issues Aluminum technology Copper technology 1 Properties of Interconnect Materials Metals Silicides Barriers Material Thin film Melting resistivity point ( C)

More information

EE 330 Lecture 12. Devices in Semiconductor Processes

EE 330 Lecture 12. Devices in Semiconductor Processes EE 330 Lecture 12 Devices in Semiconductor Processes Review from Lecture 9 Copper Interconnects Limitations of Aluminum Interconnects Electromigration Conductivity not real high Relevant Key Properties

More information

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI CMOS Technology Flow varies with process types & company N-Well CMOS Twin-Well CMOS STI Start with substrate selection Type: n or p Doping level, resistivity Orientation, 100, or 101, etc Other parameters

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

Chemical Mechanical Planarization

Chemical Mechanical Planarization 1 Chemical Mechanical Planarization SFR Workshop & Review November 14, 2002 David Dornfeld, Fiona Doyle, Costas Spanos, Jan Talbot Berkeley, CA 2 Focus of this presentation CMP research milestones in SFR

More information

Xilinx XC4036EX FPGA

Xilinx XC4036EX FPGA Construction Analysis Xilinx XC4036EX FPGA Report Number: SCA 9706-544 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax: 602-948-1925

More information

Semiconductor Technology

Semiconductor Technology Semiconductor Technology von A bis Z Metallization www.halbleiter.org Contents Contents List of Figures List of Tables II III 1 Metallization 1 1.1 Requirements on metallization........................

More information

PROCESS INTEGRATION ISSUES OF LOW-PERMITTIVITY DIELECTRICS WITH COPPER FOR HIGH-PERFORMANCE INTERCONNECTS

PROCESS INTEGRATION ISSUES OF LOW-PERMITTIVITY DIELECTRICS WITH COPPER FOR HIGH-PERFORMANCE INTERCONNECTS PROCESS INTEGRATION ISSUES OF LOW-PERMITTIVITY DIELECTRICS WITH COPPER FOR HIGH-PERFORMANCE INTERCONNECTS A DISSERTATION SUBMITTED TO THE DEPARTMENT OF ELECTRICAL ENGINEERING AND THE COMMITTEE ON GRADUATE

More information

Metallization. Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance

Metallization. Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance Metallization Interconnects Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance RC time delay Inter-Metal Dielectric -Prefer low dielectric constant to reduce capacitance

More information

Lattice 3256A-90LM PLD

Lattice 3256A-90LM PLD Construction Analysis PLD Report Number: SCA 9705-538 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780 Fax: 602-515-9781 e-mail: ice@ice-corp.com

More information

Motorola PC603R Microprocessor

Motorola PC603R Microprocessor Construction Analysis Motorola PC603R Microprocessor Report Number: SCA 9709-551 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780 Fax:

More information

EE 143 CMOS Process Flow

EE 143 CMOS Process Flow EE 143 CMOS rocess Flow CT 84 D D G Sub G Sub S S G D S G D S + + + + - MOS Substrate Well - MOS Substrate EE 143 CMOS rocess Flow CT 85 hotoresist Si 3 4 SiO 2 Substrate selection: moderately high resistivity,

More information

Test Patterns for Chemical Mechanical Polish Characterization

Test Patterns for Chemical Mechanical Polish Characterization Dobek S: CMP Characterization 15th Annual Microelectronic Engineering Conference, 1997 Test Patterns for Chemical Mechanical Polish Characterization Stanley 3. Dobek Senior Microelectronic Engineering

More information

Metallization. Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance

Metallization. Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance Metallization Interconnects Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance RC time delay Inter-Metal Dielectric -Prefer low dielectric constant to reduce capacitance

More information

INTEGRATED-CIRCUIT TECHNOLOGY

INTEGRATED-CIRCUIT TECHNOLOGY INTEGRATED-CIRCUIT TECHNOLOGY 0. Silicon crystal growth and wafer preparation 1. Processing Steps 1.1. Photolitography 1.2. Oxidation 1.3. Layer Deposition 1.4. Etching 1.5. Diffusion 1.6 Backend: assembly,

More information

Motorola MC68360EM25VC Communication Controller

Motorola MC68360EM25VC Communication Controller Construction Analysis EM25VC Communication Controller Report Number: SCA 9711-562 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780 Fax:

More information

Outline. Interconnect scaling issues Polycides, silicides and metal gates Aluminum technology Copper technology

Outline. Interconnect scaling issues Polycides, silicides and metal gates Aluminum technology Copper technology Outline Interconnect scaling issues Polycides, silicides and metal gates Aluminum technology Copper technology Wire Half Pitch vs Technology Node ITRS 2002 Narrow line effects Ref: J. Gambino, IEDM, 2003

More information

Integration Issues with Cu CMP

Integration Issues with Cu CMP Integration Issues with Cu CMP Copper CMP Integrated Solutions Michael R. Oliver Rodel, Inc. December 3, 2003 Outline Dual Damascene Approach Requirements Impact of Cu Deposition Topography Issues Sensitivity

More information

Altera EPM7128SQC EPLD

Altera EPM7128SQC EPLD Construction Analysis Altera EPM7128SQC160-15 EPLD Report Number: SCA 9712-569 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780 Fax:

More information

Exam 1 Friday Sept 22

Exam 1 Friday Sept 22 Exam 1 Friday Sept 22 Students may bring 1 page of notes Next weeks HW assignment due on Wed Sept 20 at beginning of class No 5:00 p.m extension so solutions can be posted Those with special accommodation

More information

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high vacuum ~10-7 torr Removes residual gases eg oxygen from

More information

CMP for Thru-Silicon Vias TSV Overview & Examples March 2009

CMP for Thru-Silicon Vias TSV Overview & Examples March 2009 CMP for Thru-Silicon Vias TSV Overview & Examples March 2009 Packaging Evolution Source: Yole Dev 2007 2 3D Integration Source: Yole Dev 2007 Growth rates for 3D integration Flash continues to drive the

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 9/13/2007 Fabrication Technology Lecture 1 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world)

More information

4th Annual SFR Workshop, Nov. 14, 2001

4th Annual SFR Workshop, Nov. 14, 2001 4th Annual SFR Workshop, Nov. 14, 2001 8:30 9:00 Research and Educational Objectives / Spanos 9:00 9:45 CMP / Doyle, Dornfeld, Talbot, Spanos 9:45 10:30 Plasma & Diffusion / Graves, Lieberman, Cheung,

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time IC Fabrication Technology Crystal Preparation

More information

Oxidation Part 1. By Christopher Henderson

Oxidation Part 1. By Christopher Henderson Oxidation Part 1 By Christopher Henderson In this new series, we will discuss the subject of oxidation. Oxidation is a key aspect of the semiconductor process. The fact that one can easily grow an oxide

More information

CSCI 4974 / 6974 Hardware Reverse Engineering. Lecture 5: Fabrication processes

CSCI 4974 / 6974 Hardware Reverse Engineering. Lecture 5: Fabrication processes CSCI 4974 / 6974 Hardware Reverse Engineering Lecture 5: Fabrication processes QUIZ 3: CMOS layout Quiz Discussion Rationale If you know how something is put together, you can figure out how to take it

More information

The Berkeley Marvell NanoLab

The Berkeley Marvell NanoLab The History The Berkeley Marvell NanoLab The Culture The Present The BNLA The Capabilities The End MEMS Industry Group M2M 20May2014 Bill Flounders, Ph.D. NanoLabExecutive Director The History The Berkeley

More information

Oki M A-60J 16Mbit DRAM (EDO)

Oki M A-60J 16Mbit DRAM (EDO) Construction Analysis Oki M5117805A-60J 16Mbit DRAM (EDO) Report Number: SCA 9707-545 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780

More information

Post Cleaning Chemical of Tungsten Chemical Mechanical Planarization for Memory Devices. Jun Yong Kim Cleaning/CMP Technology

Post Cleaning Chemical of Tungsten Chemical Mechanical Planarization for Memory Devices. Jun Yong Kim Cleaning/CMP Technology Post Cleaning Chemical of Tungsten Chemical Mechanical Planarization for Memory Devices Jun Yong Kim Cleaning/CMP Technology 1. CMP Process and Cleaning challenges 2. Problem Statement 3. Results of Cleaning

More information

EE 330 Lecture 9. IC Fabrication Technology Part 2

EE 330 Lecture 9. IC Fabrication Technology Part 2 EE 330 Lecture 9 IC Fabrication Technology Part 2 Quiz 8 A 2m silicon crystal is cut into wafers using a wire saw. If the wire diameter is 220um and the wafer thickness is 350um, how many wafers will this

More information

2009 Market Slurries and Particles in CMP & a Bit Beyond

2009 Market Slurries and Particles in CMP & a Bit Beyond 2009 Market Slurries and Particles in CMP & a Bit Beyond NCCAVS CMPUG Semicon W Meeting Karey Holland, Ph.D. July 14, 2009 Techcet Group, LLC. KHolland@Techcet.com www.techcet.com Slurries & Particles

More information

The ABC s of CMP for DWB and SOI. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010

The ABC s of CMP for DWB and SOI. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010 The ABC s of CMP for DWB and SOI Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010 Outline Introduction Direct Wafer Bonding (DWB) Background CMP for DWB Silicon-On-Insulator (SOI) Background

More information

ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization. Die Image

ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization. Die Image ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization Prof. Rensselaer Polytechnic Institute Troy, NY 12180 Office: CII-6229 Tel.: (518) 276-2909 e-mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s18/ecse

More information

Atomic Layer Deposition(ALD)

Atomic Layer Deposition(ALD) Atomic Layer Deposition(ALD) AlO x for diffusion barriers OLED displays http://en.wikipedia.org/wiki/atomic_layer_deposition#/media/file:ald_schematics.jpg Lam s market-leading ALTUS systems combine CVD

More information

Xilinx XC4036XL-1C FPGA

Xilinx XC4036XL-1C FPGA Construction Analysis Xilinx XC4036XL-1C FPGA Report Number: SCA 9709-553 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780 Fax: 602-515-9781

More information

NKK NR4645LQF Bit RISC Microprocessor

NKK NR4645LQF Bit RISC Microprocessor Construction Analysis NKK NR4645LQF-133 64-Bit RISC Microprocessor Report Number: SCA 9707-547 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9870

More information

Motorola MPA1016FN FPGA

Motorola MPA1016FN FPGA Construction Analysis Motorola MPA1016FN FPGA Report Number: SCA 9711-561 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780 Fax: 602-515-9781

More information

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

Semiconductor Manufacturing Technology. IC Fabrication Process Overview Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 00 by Prentice Hall Chapter 9 IC Fabrication Process Overview /4 Objectives After studying the material in this chapter, you

More information

A Time Dependency CMP Model for Dishing and Erosion in Copper Damascene and STI Process

A Time Dependency CMP Model for Dishing and Erosion in Copper Damascene and STI Process 1 A Time Dependency CMP Model for Dishing and Erosion in Copper Damascene and STI Process SFR Workshop & Review April 17, 2002 Jianfeng Luo, Runzi (Tiger) Chang and Professor David A. Dornfeld Berkeley,

More information

Lecture #18 Fabrication OUTLINE

Lecture #18 Fabrication OUTLINE Transistors on a Chip Lecture #18 Fabrication OUTLINE IC Fabrication Technology Introduction the task at hand Doping Oxidation Thin-film deposition Lithography Etch Lithography trends Plasma processing

More information

Review of CMOS Processing Technology

Review of CMOS Processing Technology - Scaling and Integration Moore s Law Unit processes Thin Film Deposition Etching Ion Implantation Photolithography Chemical Mechanical Polishing 1. Thin Film Deposition Layer of materials ranging from

More information

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica Microelettronica Planar Technology for Silicon Integrated Circuits Fabrication 26/02/2017 A. Neviani - Microelettronica Introduction Simplified crosssection of an nmosfet and a pmosfet Simplified crosssection

More information

Rockwell R RF to IF Down Converter

Rockwell R RF to IF Down Converter Construction Analysis Rockwell R6732-13 RF to IF Down Converter Report Number: SCA 9709-552 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780

More information

IMPACT Seminar. Title: Past, present, and future of CMP Faculty: David Dornfeld Department: Mechanical Engineering University: Berkeley IMPACT

IMPACT Seminar. Title: Past, present, and future of CMP Faculty: David Dornfeld Department: Mechanical Engineering University: Berkeley IMPACT 1 Seminar Title: Past, present, and future of Faculty: David Dornfeld Department: Mechanical Engineering University: Berkeley 2 Overview Outline History and Future Development (courtesy of Ken Cadien,

More information

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Metallization deposition and etching. Material mainly taken from Campbell, UCCS Metallization deposition and etching Material mainly taken from Campbell, UCCS Application Metallization is back-end processing Metals used are aluminum and copper Mainly involves deposition and etching,

More information

Overview of Dual Damascene Cu/Low-k Interconnect

Overview of Dual Damascene Cu/Low-k Interconnect ERC Retreat Stanford: New Chemistries & Tools for scco 2 Processing of Thin Films Overview of Dual Damascene Cu/Low-k Interconnect P. Josh Wolf 1,4 - Program Manager, Interconnect Div. josh.wolf@sematech.org

More information

New Applications of CMP for Non-Traditional Semiconductor Manufacturing. Robert L. Rhoades, Ph.D. Entrepix, Inc.

New Applications of CMP for Non-Traditional Semiconductor Manufacturing. Robert L. Rhoades, Ph.D. Entrepix, Inc. New Applications of CMP for Non-Traditional Semiconductor Manufacturing Robert L. Rhoades, Ph.D. Entrepix, Inc. Outline Introduction New Applications of CMP MEMS Non-CMOS Devices New Materials Epitaxial

More information

Properties and Barrier Material Interactions of Electroless Copper used for Seed Enhancement

Properties and Barrier Material Interactions of Electroless Copper used for Seed Enhancement Mat. Res. Soc. Symp. Proc. Vol. 766 2003 Materials Research Society E1.4.1 Properties and Barrier Material Interactions of Electroless Copper used for Seed Enhancement C. Witt a,b,k.pfeifer a,c a International

More information

Doping and Oxidation

Doping and Oxidation Technische Universität Graz Institute of Solid State Physics Doping and Oxidation Franssila: Chapters 13,14, 15 Peter Hadley Technische Universität Graz Institute of Solid State Physics Doping Add donors

More information

Cu CMP: Macro-scale Manufacturing for Nano-scale Quality Requirements

Cu CMP: Macro-scale Manufacturing for Nano-scale Quality Requirements Cu CMP: Macro-scale Manufacturing for Nano-scale Quality Requirements Jung-Hoon Chun Laboratory for Manufacturing and Productivity Massachusetts Institute of Technology Cambridge, MA 02139 April 23, 2009

More information

TSV Failure Mechanisms

TSV Failure Mechanisms TSV Failure Mechanisms By Christopher Henderson This section covers Through-Silicon Via, or TSV, Failure Mechanisms. The first failure mechanism we ll discuss is copper pumping. This is related to the

More information

EE 434 Lecture 9. IC Fabrication Technology

EE 434 Lecture 9. IC Fabrication Technology EE 434 Lecture 9 IC Fabrication Technology Quiz 7 The layout of a film resistor with electrodes A and B is shown. If the sheet resistance of the film is 40 /, determine the resistance between nodes A and

More information

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material on any substrate (in principal) Start with pumping down

More information

Extending Etch and Deposition Capabilities for Implementation of 3D Packaging of MEMS in Volume Production

Extending Etch and Deposition Capabilities for Implementation of 3D Packaging of MEMS in Volume Production Extending Etch and Deposition Capabilities for Implementation of 3D Packaging of MEMS in Volume Production David Butler, VP Product Management & Marketing SPTS Technologies Contents Industry Trends TSV

More information

TSV Interposer Process Flow with IME 300mm Facilities

TSV Interposer Process Flow with IME 300mm Facilities TSV Interposer Process Flow with IME 300mm Facilities Property of Institute of Microelectronics (IME)-Singapore August 17, 2012 Outline 1. TSV interposer (TSI) cross sectional schematic TSI with BEOL,

More information

CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node

CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node UMC/ ATD_AM / CMP Department T. C. Tsai, W. C. Tsao, Welch Lin, C. L. Hsu, C. L. Lin, C. M. Hsu, J. F. Lin, C. C.

More information

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1 Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1 LECTURE 030 INTEGRATED CIRCUIT TECHNOLOGY - I (References [7,8]) Objective The objective of this presentation is: 1.) Illustrate integrated

More information

SKW Wafer Product List

SKW Wafer Product List SKW Wafer Product List Regularly updated (2.13.2018) SKW Associates, INC. 2920 Scott Blvd, Santa Clara, CA 95054 Tel: 408-919-0094, Fax: 408-919-0097 I. Available Wafers in 200mm and 300mm - Please refer

More information

Lattice isplsi1032e CPLD

Lattice isplsi1032e CPLD Construction Analysis Lattice isplsi1032e CPLD Report Number: SCA 9612-522 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax: 602-948-1925

More information

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 2001 by Prentice Hall Chapter 11 Deposition Film Layers for an MSI Era NMOS Transistor Topside Nitride Pre-metal oxide Sidewall

More information

Micron Semiconductor MT4LC16M4H9 64Mbit DRAM

Micron Semiconductor MT4LC16M4H9 64Mbit DRAM Construction Analysis Micron Semiconductor MT4LC16M4H9 64Mbit DRAM Report Number: SCA 9705-539 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone:

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 9: Metallization Reading: Jaeger Chapter 7

EE143 Fall 2016 Microfabrication Technologies. Lecture 9: Metallization Reading: Jaeger Chapter 7 EE143 Fall 2016 Microfabrication Technologies Lecture 9: Metallization Reading: Jaeger Chapter 7 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1 Interconnect 2 1 Multilevel Metallization

More information

Effect of temperature on copper chemical mechanical planarization

Effect of temperature on copper chemical mechanical planarization University of South Florida Scholar Commons Graduate Theses and Dissertations Graduate School 2007 Effect of temperature on copper chemical mechanical planarization Veera Raghava R Kakireddy University

More information

CMP Applications and Issues Part 3

CMP Applications and Issues Part 3 CMP Applications and Issues Part 3 By Christopher Henderson This is the third and final part in a series on Chemical Mechanical Polishing (CMP) applications and issues. An important aspect of CMP is end

More information

Alternatives to Aluminium Metallization

Alternatives to Aluminium Metallization Alternatives to Aluminium Metallization Technological pressures on the speed and reliability of integrated circuits has caused a need for changes to be made in the choices of materials used for metallization

More information

2. Fabrication techniques. KNU Seminar Course 2015 Robert Mroczyński

2. Fabrication techniques. KNU Seminar Course 2015 Robert Mroczyński 2. Fabrication techniques KNU Seminar Course 2015 Robert Mroczyński Technological layers used in the course of the IC fabrication Semiconductors Fundamental part of each IC, active material of semiconductor

More information

Analog Devices ADSP KS-160 SHARC Digital Signal Processor

Analog Devices ADSP KS-160 SHARC Digital Signal Processor Construction Analysis Analog Devices ADSP-21062-KS-160 SHARC Digital Signal Processor Report Number: SCA 9712-575 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale,

More information

Graduate Student Presentations

Graduate Student Presentations Graduate Student Presentations Dang, Huong Chip packaging March 27 Call, Nathan Thin film transistors/ liquid crystal displays April 4 Feldman, Ari Optical computing April 11 Guerassio, Ian Self-assembly

More information

New Applications for CMP: Solving the Technical and Business Challenges. Robert L. Rhoades, Ph.D. NSTI Conference (Houston, TX) May 5, 2009

New Applications for CMP: Solving the Technical and Business Challenges. Robert L. Rhoades, Ph.D. NSTI Conference (Houston, TX) May 5, 2009 New Applications for CMP: Solving the Technical and Business Challenges Robert L. Rhoades, Ph.D. NSTI Conference (Houston, TX) May 5, 2009 Outline Background and Business Climate for CMP Technical Approach

More information

SLURRY FORMULATION OPTIONS

SLURRY FORMULATION OPTIONS SLURRY FORMULATION OPTIONS CHALLENGES FOR DEFECT REDUCTION IN CU,Ta/TaN AND Ru PLANARIZATION S. V. Babu Center for Advanced Materials Processing, 1 Clarkson University (www.clarkson.edu/camp) Acknowledgments

More information

Hitachi A 64Mbit (8Mb x 8) Dynamic RAM

Hitachi A 64Mbit (8Mb x 8) Dynamic RAM Construction Analysis Hitachi 5165805A 64Mbit (8Mb x 8) Dynamic RAM Report Number: SCA 9712-565 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone:

More information

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development TSV Processing and Wafer Stacking Kathy Cook and Maggie Zoberbier, 3D Business Development Outline Why 3D Integration? TSV Process Variations Lithography Process Results Stacking Technology Wafer Bonding

More information

Integrated Circuit Engineering Corporation. DRAMs

Integrated Circuit Engineering Corporation. DRAMs DRAMs As generally known, the focus of technology in this product category continues to be complex vertical polysilicon structures to reduce cell area. This not only pushes the limits of deposition and

More information

Chapter 2 Manufacturing Process

Chapter 2 Manufacturing Process Digital Integrated Circuits A Design Perspective Chapter 2 Manufacturing Process 1 CMOS Process 2 CMOS Process (n-well) Both NMOS and PMOS must be built in the same silicon material. PMOS in n-well NMOS

More information

Activities in Plasma Process Technology at SENTECH Instruments GmbH, Berlin. Dr. Frank Schmidt

Activities in Plasma Process Technology at SENTECH Instruments GmbH, Berlin. Dr. Frank Schmidt Activities in Plasma Process Technology at SENTECH Instruments GmbH, Berlin Dr. Frank Schmidt The Company Company Private company, founded 1990 80 employees ISO 9001 Location Science & Technology Park,

More information

Research Activities on Defect Improvement of CMP Process in 1x nm Foundry Device

Research Activities on Defect Improvement of CMP Process in 1x nm Foundry Device Research Activities on Defect Improvement of CMP Process in 1x nm Foundry Device 1JI CHUL YANG, 2Hong Jin Kim, 2Venu. Govindarajulu,1Dinesh Koli and 2Jason Mazzotti Jichul.yang@globalfoundries.com 1 CMP,

More information

Enabling Low Defectivity Solutions Through Co- Development of CMP Slurries and Cleaning Solutions for Cobalt Interconnect Applications

Enabling Low Defectivity Solutions Through Co- Development of CMP Slurries and Cleaning Solutions for Cobalt Interconnect Applications Enabling Low Defectivity Solutions Through Co- Development of CMP Slurries and Cleaning Solutions for Cobalt Interconnect Applications Dnyanesh Tamboli 1, Tom Shi 1, Chris Li 2, Ming-Shih Tsai 2, Rung-Je

More information

Cleaning Trends for Advanced Nodes. April 9, 2018 Scotten W. Jones President IC Knowledge LLC

Cleaning Trends for Advanced Nodes. April 9, 2018 Scotten W. Jones President IC Knowledge LLC Cleaning Trends for Advanced Nodes April 9, 2018 Scotten W. Jones President IC Knowledge LLC sjones@icknowledge.com Outline DRAM Logic NAND Conclusion 2 DRAM Nodes 2011 2012 2013 2014 2015 2016 2017 2018

More information

EE 330 Lecture 8. IC Fabrication Technology Part II. - Oxidation - Epitaxy - Polysilicon - Interconnects

EE 330 Lecture 8. IC Fabrication Technology Part II. - Oxidation - Epitaxy - Polysilicon - Interconnects EE 330 Lecture 8 IC Fabrication Technology Part II - Oxidation - Epitaxy - Polysilicon - Interconnects Review from Last Time MOS Transistor Bulk Source Gate Drain p-channel MOSFET Lightly-doped n-type

More information

EE C245 ME C218 Introduction to MEMS Design

EE C245 ME C218 Introduction to MEMS Design EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 10: Surface

More information

Complementary Metal Oxide Semiconductor (CMOS)

Complementary Metal Oxide Semiconductor (CMOS) Technische Universität Graz Institute of Solid State Physics Complementary Metal Oxide Semiconductor (CMOS) Franssila: Chapters 26,28 Technische Universität Graz Institute of Solid State Physics Complementary

More information

Make sure the exam paper has 9 pages total (including cover page)

Make sure the exam paper has 9 pages total (including cover page) UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Fall 2010 EE143 Midterm Exam #2 Family Name First name SID Signature Solution Make sure the exam

More information

UMC UM F-7 2M-Bit SRAM

UMC UM F-7 2M-Bit SRAM Construction Analysis UMC UM 613264F-7 2M-Bit SRAM Report Number: SCA 9609-511 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax:

More information

Method For Stripping Copper In Damascene Interconnects >>>CLICK HERE<<<

Method For Stripping Copper In Damascene Interconnects >>>CLICK HERE<<< Method For Stripping Copper In Damascene Interconnects Damascene, or acid copper plating baths, have been in use since the mid 19th century on decorative items and machinery.1,2 The process generally uses

More information