Photolithography I ( Part 2 )

Size: px
Start display at page:

Download "Photolithography I ( Part 2 )"

Transcription

1 1 Photolithography I ( Part 2 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science and Technology ( NTNU )

2 2 Objectives After studying the material in this chapter, you will be able to: 1. Explain the basic concepts for photolithography, including process overview, critical dimension generations, light spectrum, resolution and process latitude. 2. Discuss the difference between negative and positive lithography. 3. State and describe the ten basic steps to photolithography. 4. Explain how the wafer surface is prepared for photolithography. 5. Describe photoresist and discuss photoresist physical properties. 6. Discuss the chemistry and applications of conventional i-line photoresist. 7. Describe the chemistry and benefits of deep UV (DUV) resists, including chemically amplified resists. 8. Explain how photoresist is applied in wafer manufacturing. 9. Discuss the purpose of soft bake and how it is accomplished in production.

3 3 Ten steps of Photolithography UV Light HMDS Resist Mask λ λ 1-3) Vapor prime 4) Spin coat 5) Soft bake 6) Alignment and Exposure 7) Post-exposure bake (PEB) 8) Develop 9) Hard bake 10) Develop inspect

4 4 Vapor Prime Wafer Cleaning Dehydration Bake Wafer Priming Priming Techniques Puddle Dispense and Spin Spray Dispense and Spin Vapor Prime and Dehydration Bake

5 5 Wafer Cleaning Resist liftoff Remove particles, grease and so on Particles/grease reduces adhesion Photoresist can loosen from the wafer Can etch when below photoresist Affects the properties of the semiconductor Metals can give impurities (doping) on the surface Particles can give an uneven thickness of the photoresist and also make holes. Important to do this step fast (i.e. go to next step quickly) Prevent further contamination before next layer

6 6 Dehydration Bake Remove moisture (Water) from the wafer surface Water reduces adhesion between photoresist and surface Use a dehydration bake between cleaning and wafer priming C for about one minute (Si wafer) To remove all water, 750 C is needed for silicon No point in using this temperature as water dampens the surface when temperature is lowered

7 7 Wafer Priming Put a material between the wafer and photoresist which improves adhesion Better than wafer <--> resist hexamethyldisilazane (HMDS) mostly used Applied by either Puddle Spray Vapor (most common)

8 8 HMDS Puddle Dispense and Spin Puddle formation Spin wafer to remove excess liquid Uses a lot of HMDS Figure Quirk & Serda

9 9 Wafer Priming Spray and spin Helps remove residue particles Takes a long time Uses a lot of HMDS

10 10 HMDS Hot Plate Dehydration Bake and Vapor Prime Process Summary: Dehydration bake in enclosed chamber with exhaust Hexamethyldisilazane (HMDS) Clean and dry wafer surface (hydrophobic) Temp ~ 200 to 250 C Time ~ 60 sec. Minimizes HMDS consumption No contact of liquid HMDS with wafer less contamination Chamber cover Wafer Hot plate Exhaust Figure Quirk & Serda

11 11 Ten steps of Photolithography UV Light HMDS Resist Mask λ λ 1-3) Vapor prime 4) Spin coat 5) Soft bake 6) Alignment and Exposure 7) Post-exposure bake (PEB) 8) Develop 9) Hard bake 10) Develop inspect

12 12 Spin Coat Photoresist Types of Photoresist Negative Versus Positive Photoresists Photoresist Physical Properties Conventional I-Line Photoresists (365 nm light) Negative I-Line Photoresists Positive I-Line Photoresists Deep UV (DUV) Photoresists (248 or 193 nm) Photoresist Dispensing Methods

13 13 Types of Photoresists Two Types of Photoresist Positive Resist Negative Resist CD Capability Conventional Resist (CD 0.35 μm) Deep UV Resist (CD 0.25 μm) Process Applications Non-critical Layers Critical Layers

14 14 Photoresist Physical Characteristics Resolution Contrast High contrast => Straight resist wall Sensitivity Minimum exposure dose (mj/cm 2 ) for given λ (λ-dependent) Viscosity How thick liquid ( affecting resist thickness ); Step coverage pinholes pattern resolution Adhesion Adhesion on various materials ( Semiconductors, Dielectrics and Metals ) Etch resistance e.g. thermal (shape) stability at ~ 150 C during dry etch Surface tension High resist strength vs. good resist flow Storage and handling Storage-lifetime and -temperature, Contamination and evaporation after opening. Contaminants and particles Mobile ionic impurities are damaging

15 15 Resist Contrast Poor Resist Contrast Sloped walls Swelling Poor contrast Good Resist Contrast Sharp walls No swelling Good contrast Resist Resist Film Film Figure Quirk & Serda

16 16 Photoresist Physical Characteristics Resolution Contrast High contrast => Straight resist wall Sensitivity Minimum exposure dose (mj/cm 2 ) for given λ (λ-dependent) Viscosity How thick liquid ( affecting resist thickness ); Step coverage pinholes pattern resolution Adhesion Adhesion on various materials ( Semiconductors, Dielectrics and Metals ) Etch resistance e.g. thermal (shape) stability at ~ 150 C during dry etch Surface tension High resist strength vs. good resist flow Storage and handling Storage-lifetime and -temperature, Contamination and evaporation after opening. Contaminants and particles Mobile ionic impurities are damaging

17 17 Surface Tension Low surface tension from low molecular forces High surface tension from high molecular forces Figure Quirk & Serda

18 18 Components of Conventional Photoresist Solvent: gives resist its flow characteristics Resin: mix of polymers used as binder; gives resist mechanical and chemical properties, inert Sensitizers: photosensitive component of the resist material Additives: chemicals that control specific aspects of resist material

19 19 Negative Resist Crosslinking Unexposed areas remain soluble to developer chemical. UV Areas exposed to light become crosslinked and resist the developer chemical. Photoresist Oxide Substrate Unexposed Exposed Pre-exposure - photoresist Soluble Post-exposure - photoresist Crosslinks Post-develop - photoresist Figure Quirk & Serda

20 20 PAC as Dissolution Inhibitor in Positive I-Line Resist Unexposed resist, containing PACs, remain crosslinked and insoluble to developer chemical. Photoresist UV Resist exposed to light dissolves in the developer chemical. Oxide Substrate Exposed Unexposed PAC Pre-exposure + photoresist Soluble resist Post-exposure + photoresist Post-develop + photoresist Figure Quirk & Serda

21 21 Good Contrast Characteristics of Positive I- Line Photoresist Positive Photoresist: Sharp walls No swelling Good contrast Resist Film Figure Quirk & Serda

22 22 DUV Emission Spectrum Relative Intensity (%) KrF laser emission spectrum nm Relative Intensity (%) Emission spectrum of high-intensity mercury lamp DUV* 248 nm i-line 365 nm h-line 405 nm g-line 436 nm Wavelength (nm) * Intensity of mercury lamp is too low at 248 nm to be usable in DUV photolithography applications. Excimer lasers, such as shown on the left provide more energy for a given DUV wavelength. Mercury lamp spectrum used with permission from USHIO Specialty Lighting Products Figure Quirk and Serda

23 23 Chemically Amplified (CA) DUV Resist Unexposed resist remains crosslinked and PAGs are inactive. UV Resist exposed to light dissolves in the developer chemical. Photoresist Oxide Substrate Exposed Unexposed PAG H + PAG PAG H + PAG PAG PAG PAG H + PAG PAG Pre-exposure + CA photoresist Acid-catalyzed reaction (during PEB) Post-exposure + CA photoresist Unchanged Post-develop + CA photoresist Figure Quirk and Serda

24 24 Exposure Steps for Chemically Amplified DUV Resist 1. Resin is phenolic copolymer with protecting group that makes it insoluble in developer. 2. Photoacid generator (PAG) generates acid during exposure. 3. Acid generated in exposed resist areas serves as catalyst to remove resin-protecting group during post exposure thermal bake. 4. Exposed areas of resist without protecting group are soluble in aqueous developer. Table 13.5 Quirk & Serda

25 25 Ten steps of Photolithography UV Light HMDS Resist Mask λ λ 1-3) Vapor prime 4) Spin coat 5) Soft bake 6) Alignment and Exposure 7) Post-exposure bake (PEB) 8) Develop 9) Hard bake 10) Develop inspect

26 26 Spin Coat Process Summary: Wafer is held onto vacuum chuck Dispense ~5ml of photoresist Slow spin ~ 500 rpm Ramp up to ~ 3000 to 5000 rpm Quality measures: time speed thickness uniformity particles and defects Photoresist dispenser Vacuum chuck To vacuum pump Spindle connected to spin motor Figure Quirk & Serda

27 27 Steps of Photoresist Spin Coating 1) Resist dispense 2) Spin-up 3) Spin-off 4) Solvent evaporation Figure Quirk & Serda

28 28 Spin Coat Apply photoresist Applied with dispenser Applied continuously, not as droplets (important) Radial application Dispenser moves radially while applying photoresist Reduces the amount of photoresist used Static application Apply the photoresist before starting the spinner Spin the wafer slowly to distribute the resist (Typically 500 rpm) fast to get a homogenous film (typically around 4000 rpm) Dynamic application Apply at rpm Then spin up to correct speed (again, ~ 4000 rpm) Removes solvents Photoresist thickens (solvent content from ~ 75 % to ~ 15 %)

29 29 Resist Spin Speed Curve Spin Speed Curve of IX Resist Thickness (Å) cp 70 cp 1 thickness RPM cp Spin Speed (RPM) Used with permission from JSR Microelectronics, Inc. Figure Quirk and Serda

30 30 Photoresist Dispense Nozzle Bottom side EBR Resist dispenser nozzle Air flow X θ Z Y Nozzle position can be adjusted in four directions. Resist flow Wafer Vacuum chuck Air flow Stainless steel bowl Exhaust Spin motor Drain Vacuum Figure Quirk and Serda

31 31 Ten steps of Photolithography UV Light HMDS Resist Mask λ λ 1-3) Vapor prime 4) Spin coat 5) Soft bake 6) Alignment and Exposure 7) Post-exposure bake (PEB) 8) Develop 9) Hard bake 10) Develop inspect

32 32 Soft Bake Characteristics of Soft Bake: Improves Photoresist-to-Wafer Adhesion Promotes Resist Uniformity on Wafer Drives Off Most of Solvent in Photoresist (reduced to ~ 5 %) Typical Bake Temperatures are 90 to 100 C For about 60 Seconds On a Hot Plate Followed by Cooling Step on Cold Plate

33 33 Soft Bake on Vacuum Hot Plate Purpose of Soft Bake: Partial evaporation of photoresist solvents Improves adhesion Improves uniformity Improves etch resistance Improves linewidth control Optimizes light absorbance characteristics of photoresist Chamber cover Wafer Solvent exhaust Hot plate Figure Quirk and Serda

34 34 Ten steps of Photolithography UV Light HMDS Resist Mask λ λ 1-3) Vapor prime 4) Spin coat 5) Soft bake 6) Alignment and Exposure 7) Post-exposure bake (PEB) 8) Develop 9) Hard bake 10) Develop inspect

35 35 Automated Wafer Track for Photolithography Load station Vapor prime Resist coat Develop and rinse Edge-bead removal Transfer station Wafer stepper (Alignment/Exposure system) Wafer Transfer System Soft bake Cool plate Cool plate Hard bake

36 36 Photolithography Track System Photo courtesy of Advanced Micro Devices, TEL Track Mark VIII Photo 13.2 Quirk and Serda

37 37 Objectives After studying the material in this chapter, you will be able to: 1. Explain the basic concepts for photolithography, including process overview, critical dimension generations, light spectrum, resolution and process latitude. 2. Discuss the difference between negative and positive lithography. 3. State and describe the ten basic steps to photolithography. 4. Explain how the wafer surface is prepared for photolithography. 5. Describe photoresist and discuss photoresist physical properties. 6. Discuss the chemistry and applications of conventional i-line photoresist. 7. Describe the chemistry and benefits of deep UV (DUV) resists, including chemically amplified resists. 8. Explain how photoresist is applied in wafer manufacturing. 9. Discuss the purpose of soft bake and how it is accomplished in production.

38 38 Thank You

Major Fabrication Steps in MOS Process Flow

Major Fabrication Steps in MOS Process Flow Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide Silicon substrate Oxidation (Field oxide) Photoresist Coating Mask-Wafer Alignment

More information

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller Webpage: http://www.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604

More information

EE 527 MICROFABRICATION. Lecture 15 Tai-Chang Chen University of Washington EE-527 M4 MASK SET: NPN BJT. C (sub) A E = 40 µm x 40 µm

EE 527 MICROFABRICATION. Lecture 15 Tai-Chang Chen University of Washington EE-527 M4 MASK SET: NPN BJT. C (sub) A E = 40 µm x 40 µm EE 527 MICROFABRICATION Lecture 15 Tai-Chang Chen University of Washington EE-527 M4 MASK SET: NPN BJT C (sub) E B A E = 40 µm x 40 µm 1 EE-527 M4 MASK SET: MOS C-V TEST CAPACITORS W = 10 µm L = 10 µm

More information

Photolithography Process Technology

Photolithography Process Technology Contents Photolithography Process - Wafer Preparation - Photoresist Coating - Align & Expose - Photoresist Development Process Control CD Measurement Equipment Expose System & Wafer Track Consumables Chemicals

More information

Dow Corning WL-5150 Photodefinable Spin-On Silicone

Dow Corning WL-5150 Photodefinable Spin-On Silicone Dow Corning WL-515 Photodefinable Spin-On Silicone Properties and Processing Procedures Introduction Dow Corning WL-515 is a silicone formulation which can be photopatterned and cured using standard microelectronics

More information

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process. Data Package

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process. Data Package AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process Data Package AZ BARLi II Coating Material Features, Process, and Performance AZ s bottom antireflective coating material,

More information

MCC. PMGI Resists NANO PMGI RESISTS OFFER RANGE OF PRODUCTS

MCC. PMGI Resists NANO PMGI RESISTS OFFER RANGE OF PRODUCTS MCC PMGI RESISTS OFFER Sub.25µm lift-off processing Film thicknesses from 5µm Choice of resin blends for optimal undercut control High thermal stability Superior adhesion to Si, NiFe, GaAs, InP

More information

Microelectronic Device Instructional Laboratory. Table of Contents

Microelectronic Device Instructional Laboratory. Table of Contents Introduction Process Overview Microelectronic Device Instructional Laboratory Introduction Description Flowchart MOSFET Development Process Description Process Steps Cleaning Solvent Cleaning Photo Lithography

More information

Technical Data Sheet Technisches Datenblatt

Technical Data Sheet Technisches Datenblatt AZ ECI 3000 Photoresist Universal i-line/crossover Photoresist Series GENERAL INFORMATION AZ ECI 3000 photoresist series are a family of fast positive resists with high resolution capabilities (0.4 µm

More information

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process Data Package

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process Data Package AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process Data Package The information contained herein is, as far as we are aware, true and accurate. However, no representations

More information

micro resist technology

micro resist technology Characteristics Processing guidelines Negative Tone Photoresist Series ma-n 2400 ma-n 2400 is a negative tone photoresist series designed for the use in micro- and nanoelectronics. The resists are available

More information

CYCLOTENE* 4000 Series Advanced Electronic Resins (Photo BCB)

CYCLOTENE* 4000 Series Advanced Electronic Resins (Photo BCB) Revised: February 2005 CYCLOTENE* 4000 Series Advanced Electronic Resins (Photo BCB) Processing Procedures for CYCLOTENE 4000 Series Photo BCB Resins DS2100 Puddle Develop Process 1. Introduction The CYCLOTENE

More information

UV5 POSITIVE DUV PHOTORESIST For DUV Applications

UV5 POSITIVE DUV PHOTORESIST For DUV Applications UV5 POSITIVE DUV PHOTORESIST For DUV Applications DESCRIPTION UV5 positive DUV photo resist has been optimized to provide vertical profile imaging of isolated and semidense features for device production

More information

Processing guidelines. Negative Tone Photoresist Series ma-n 2400

Processing guidelines. Negative Tone Photoresist Series ma-n 2400 Characteristics Processing guidelines Negative Tone Photoresist Series ma-n 2400 ma-n 2400 is a negative tone photoresist series designed for the use in micro- and nanoelectronics. The resists are available

More information

GLM General information. Technical Datasheet

GLM General information. Technical Datasheet GLM 2060 Nanocomposite SU-8-negative tone photo-epoxy for layers from 6.0 to 50µm Technical Datasheet Gersteltec Sarl. Générale Guisan 26, 1009, Pully Switzerland Switzerland / Israel / Taiwan Contact:

More information

UV5 POSITIVE DUV PHOTORESIST For Microlithography Applications

UV5 POSITIVE DUV PHOTORESIST For Microlithography Applications UV5 POSITIVE DUV PHOTORESIST For Microlithography Applications DESCRIPTION UV5 positive DUV photoresist has been optimized to provide vertical profile imaging of isolated and semidense features for device

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2003) Fabrication Technology, Part I Agenda: Oxidation, layer deposition (last lecture) Lithography Pattern Transfer (etching) Impurity Doping Reading: Senturia,

More information

micro resist technology

micro resist technology Characteristics Processing guidelines Negative Tone Photoresist Series ma-n 1400 ma-n 1400 is a negative tone photoresist series designed for the use in microelectronics and microsystems. The resists are

More information

The Pennsylvania State University. The Graduate School. Department of Electrical Engineering DEPOSITION OF PATTERN TRANSFER MATERIALS BY THE MISTED

The Pennsylvania State University. The Graduate School. Department of Electrical Engineering DEPOSITION OF PATTERN TRANSFER MATERIALS BY THE MISTED The Pennsylvania State University The Graduate School Department of Electrical Engineering DEPOSITION OF PATTERN TRANSFER MATERIALS BY THE MISTED CHEMICAL DEPOSITION PROCESS A Thesis in Electrical Engineering

More information

MCC. LOR Lift-Off Resists LOR RESISTS OFFER TYPES OF RESISTS

MCC. LOR Lift-Off Resists LOR RESISTS OFFER TYPES OF RESISTS MCC LOR RESISTS OFFER Submicron linewidth control Finely tuned undercuts Does not intermix with imaging resists (no scum) Excellent adhesion to Si, NiFe, GaAs, InP and many other III-V and II-VI materials

More information

Processing guidelines. Negative Tone Photoresists mr-ebl 6000

Processing guidelines. Negative Tone Photoresists mr-ebl 6000 Characteristics Processing guidelines Negative Tone Photoresists mr-ebl 6000 mr-ebl 6000 is a chemically amplified negative tone photoresist for the use in micro- and nanoelectronics. - Electron beam sensitive

More information

Introduction to Nanoscience and Nanotechnology

Introduction to Nanoscience and Nanotechnology Introduction to Nanoscience and Nanotechnology ENS 463 2. Principles of Nano-Lithography by Alexander M. Zaitsev alexander.zaitsev@csi.cuny.edu Tel: 718 982 2812 Office 4N101b 1 Lithographic patterning

More information

UV6 POSITIVE DUV PHOTORESIST For DUV Applications

UV6 POSITIVE DUV PHOTORESIST For DUV Applications UV6 POSITIVE DUV PHOTORESIST For DUV Applications DESCRIPTION UV6 Positive DUV Photoresist has been optimized to provide vertical profile imaging of dense and semi-isolated features for device production

More information

125nXT Series. EMD PeRFoRmaNce MaTeRIaLs. technical datasheet. Photopolymer Negative Tone Photoresists APPLICATION TYPICAL PROCESS THICKNESS GRADES

125nXT Series. EMD PeRFoRmaNce MaTeRIaLs. technical datasheet. Photopolymer Negative Tone Photoresists APPLICATION TYPICAL PROCESS THICKNESS GRADES EMD PeRFoRmaNce MaTeRIaLs technical datasheet AZ 125nXT Series Photopolymer Negative Tone Photoresists APPLICATION Thick photopolymer photoresists featuring aspect ratios and photospeed not possible with

More information

4. Thermal Oxidation. a) Equipment Atmospheric Furnace

4. Thermal Oxidation. a) Equipment Atmospheric Furnace 4. Thermal Oxidation a) Equipment Atmospheric Furnace Oxidation requires precise control of: temperature, T ambient gas, G time spent at any given T & G, t Vito Logiudice 34 4. Thermal Oxidation b) Mechanism

More information

North America Europe, Middle East and Africa Latin America Asia-Pacific. Table 1. Photo-BCB Formulations

North America Europe, Middle East and Africa Latin America Asia-Pacific. Table 1. Photo-BCB Formulations Processing Procedures CYCLOTENE 4000 Series Advanced Electronics Resins (Photo BCB) Processing Procedures for CYCLOTENE 4000 Series Photo BCB Resins DS2100 Puddle Develop Process Regional Product Availability

More information

MCC. NANO PMMA and Copolymer

MCC. NANO PMMA and Copolymer MCC PRODUCT ATTRIBUTES NANO and Submicron linewidth control (polymethyl methacrylate) is a versatile polymeric material that is well suited Sub 0.1µm imaging for many imaging and non-imaging microelectronic

More information

Lab #2 Wafer Cleaning (RCA cleaning)

Lab #2 Wafer Cleaning (RCA cleaning) Lab #2 Wafer Cleaning (RCA cleaning) RCA Cleaning System Used: Wet Bench 1, Bay1, Nanofabrication Center Chemicals Used: H 2 O : NH 4 OH : H 2 O 2 (5 : 1 : 1) H 2 O : HF (10 : 1) H 2 O : HCl : H 2 O 2

More information

Lithography Tool Package

Lithography Tool Package 4. Development Thomas Anhøj and Tine Greibe Outline 1. Introduction Process steps in UV lithography 2. Spin coating Resist composition Pre-treatment Principle Softbake Spin curve 3. Exposure Hardware Process

More information

BEFORE you can do any resist processing, you must be familiar with the chemicals you will be using, and know and respect the dangers of them.

BEFORE you can do any resist processing, you must be familiar with the chemicals you will be using, and know and respect the dangers of them. Overview Any e-beam exposure is highly dependant upon processing and the substrate. This information is provided as a starting point and will required experimentation to optimize things for your work.

More information

P4000 Thick Film Photoresist

P4000 Thick Film Photoresist D A T A S H E E T AZ Description AZ series photoresists provide unmatched capabilities in demanding applications requiring film thicknesses ranging from 3 to over 60 µm. These production proven photoresists

More information

Because of equipment availability, cost, and time, we will use aluminum as the top side conductor

Because of equipment availability, cost, and time, we will use aluminum as the top side conductor Because of equipment availability, cost, and time, we will use aluminum as the top side conductor Top Side Conductor vacuum deposition Aluminum sputter deposit in Argon plasma CVC 601-sputter deposition

More information

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in Chapter 5 PROCESSING OF DEVICES A discussion of crystal growth, lithography, etching, doping, and device structures is presented in the following overview gures. SEMICONDUCTOR DEVICE PROCESSING: AN OVERVIEW

More information

INTERVIA BPP-10 Photoresist

INTERVIA BPP-10 Photoresist Technical Data Sheet INTERVIA BPP-10 Photoresist For Advanced Packaging Applications Description Regional Product Availability Advantages INTERVIA BPP-10 Photoresist is a general-purpose, multi-wavelength

More information

CS/ECE 5710/6710. N-type Transistor. N-type from the top. Diffusion Mask. Polysilicon Mask. CMOS Processing

CS/ECE 5710/6710. N-type Transistor. N-type from the top. Diffusion Mask. Polysilicon Mask. CMOS Processing CS/ECE 5710/6710 CMOS Processing Addison-Wesley N-type Transistor D G +Vgs + Vds S N-type from the top i electrons - Diffusion Mask Mask for just the diffused regions Top view shows patterns that make

More information

1500 Series. EMD PeRFoRmaNce MaTeRIaLs. technical datasheet. Positive Tone Photoresists APPLICATION TYPICAL PROCESS. SPIN CURVES (150mm wafers)

1500 Series. EMD PeRFoRmaNce MaTeRIaLs. technical datasheet. Positive Tone Photoresists APPLICATION TYPICAL PROCESS. SPIN CURVES (150mm wafers) EMD PeRFoRmaNce MaTeRIaLs technical datasheet AZ 1500 Series Positive Tone Photoresists APPLICATION General purpose positive tone photoresists featuring excellent substrate adhesion for demanding wet etch

More information

Czochralski Crystal Growth

Czochralski Crystal Growth Czochralski Crystal Growth Crystal Pulling Crystal Ingots Shaping and Polishing 300 mm wafer 1 2 Advantage of larger diameter wafers Wafer area larger Chip area larger 3 4 Large-Diameter Wafer Handling

More information

SU Permanent Epoxy Negative Photoresist PROCESSING GUIDELINES FOR:

SU Permanent Epoxy Negative Photoresist PROCESSING GUIDELINES FOR: SU-8 2000 Permanent Epoxy Negative Photoresist PROCESSING GUIDELINES FOR: SU-8 2100 and SU-8 2150 www.microchem.com SU-8 2000 is a high contrast, epoxy based photoresist designed for micromachining and

More information

Processing guidelines

Processing guidelines Processing guidelines mr-uvcur21 series UV-curable Polymer for UV-based Nanoimprint Lithography Characteristics mr-uvcur21 is a liquid UV-curable polymer system with low viscosity and high curing rate

More information

Developments of Photosensitive Polyimides and Photosensitive Polybenzoxazoles Mitsuru Ueda

Developments of Photosensitive Polyimides and Photosensitive Polybenzoxazoles Mitsuru Ueda Developments of Photosensitive Polyimides and Photosensitive Polybenzoxazoles Mitsuru Ueda Department of rganic & Polymeric Materials, Graduate School of Science and Engineering, Tokyo Institute of Technology

More information

Understanding. Brewer Science

Understanding. Brewer Science Understanding ARC Products General ARC Presentation: Slide #1 Overview Anti-reflective coating introduction Types of anti-reflective coating Advantages to anti-reflective coatings Advantages to bottom

More information

ARC XL Series. Broadband g-line & i-line Anti-Reflective Coatings

ARC XL Series. Broadband g-line & i-line Anti-Reflective Coatings ARC XL Series Broadband g-line & i-line Anti-Reflective Coatings Why Use a Brewer Science ARC? Resist Resist Substrate ARC Substrate Without Brewer ARC With Brewer ARC Lithography Reflective Problems In

More information

Hybrid BARC approaches for FEOL and BEOL integration

Hybrid BARC approaches for FEOL and BEOL integration Hybrid BARC approaches for FEOL and BEOL integration Willie Perez a, Stephen Turner a, Nick Brakensiek a, Lynne Mills b, Larry Wilson b, Paul Popa b a Brewer Science, Inc., 241 Brewer Dr., Rolla, MO 6541

More information

SU Permanent Epoxy Negative Photoresist PROCESSING GUIDELINES FOR:

SU Permanent Epoxy Negative Photoresist PROCESSING GUIDELINES FOR: SU-8 2000 Permanent Epoxy Negative Photoresist PROCESSING GUIDELINES FOR: SU-8 2000.5, SU-8 2002, SU-8 2005, SU-8 2007, SU-8 2010 and SU-8 2015 SU-8 2000 is a high contrast, epoxy based photoresist designed

More information

Introduction to Lithography

Introduction to Lithography Introduction to Lithography G. D. Hutcheson, et al., Scientific American, 290, 76 (2004). Moore s Law Intel Co-Founder Gordon E. Moore Cramming More Components Onto Integrated Circuits Author: Gordon E.

More information

DuPont MX5000 Series

DuPont MX5000 Series DuPont MX5000 Series DATA SHEET & PROCESSING INFORMATION High Performance Multi-Purpose Polymer Film for MEMS Applications PRODUCT FEATURES/ APPLICATIONS Negative working, aqueous processable dry film

More information

SU Permanent Epoxy Negative Photoresist PROCESSING GUIDELINES FOR:

SU Permanent Epoxy Negative Photoresist PROCESSING GUIDELINES FOR: SU-8 2000 Permanent Epoxy Negative Photoresist PROCESSING GUIDELINES FOR: SU-8 2025, SU-8 2035, SU-8 2050 and SU-8 2075 SU-8 2000 is a high contrast, epoxy based photoresist designed for micromachining

More information

Photolithography. Dong-Il Dan Cho. Seoul National University Nano/Micro Systems & Controls Laboratory

Photolithography. Dong-Il Dan Cho. Seoul National University Nano/Micro Systems & Controls Laboratory Lecture 9: Photolithography School of Electrical l Engineering i and Computer Science, Seoul National University Nano/Micro Systems & Controls Laboratory Email: dicho@snu.ac.kr URL: http://nml.snu.ac.kr

More information

EE 432/532 CyMOS process PWELL Lithography & Diffusion Feb 24, 2016

EE 432/532 CyMOS process PWELL Lithography & Diffusion Feb 24, 2016 EE 432/532 CyMOS process PWELL Lithography & Diffusion Feb 24, 2016 Friday Afternoon Group Brady Koht Sebastian Roe Peter Bonnie Joseph Wickner Lab Instructor Yunfei Zhao 1. Overview Now that a Field Oxide

More information

Report 1. B. Starting Wafer Specs Number: 10 Total, 6 Device and 4 Test wafers

Report 1. B. Starting Wafer Specs Number: 10 Total, 6 Device and 4 Test wafers Aaron Pederson EE 432 Lab Dr. Meng Lu netid: abp250 Lab instructor: Yunfei Zhao Report 1 A. Overview The goal of this lab is to go through the semiconductor fabrication process from start to finish. This

More information

ACS300 Gen2. The coat develop solution from pilot to high volume production

ACS300 Gen2. The coat develop solution from pilot to high volume production ACS300 Gen2 The coat develop solution from pilot to high volume production ACS300 Gen2 The Powerful Coating Solution for 300 mm features and benefits + + Highly flexible resist processing cluster for high-volume

More information

Fabrication and Layout

Fabrication and Layout ECEN454 Digital Integrated Circuit Design Fabrication and Layout ECEN 454 3.1 A Glimpse at MOS Device Polysilicon Aluminum ECEN 475 4.2 1 Material Classification Insulators Glass, diamond, silicon oxide

More information

EELE408 Photovoltaics Lecture 02: Silicon Processing

EELE408 Photovoltaics Lecture 02: Silicon Processing EELE408 Photovoltaics Lecture 0: licon Processing Dr. Todd J. Kaiser tjkaiser@ece.montana.edu Department of Electrical and Computer Engineering Montana State University - Bozeman The Fabrication Process

More information

UV15: For Fabrication of Polymer Optical Waveguides

UV15: For Fabrication of Polymer Optical Waveguides CASE STUDY UV15: For Fabrication of Polymer Optical Waveguides Master Bond Inc. 154 Hobart Street, Hackensack, NJ 07601 USA Phone +1.201.343.8983 Fax +1.201.343.2132 main@masterbond.com CASE STUDY UV15:

More information

Temperature Scales. Questions. Temperature Conversions 7/21/2010. EE580 Solar Cells Todd J. Kaiser. Thermally Activated Processes

Temperature Scales. Questions. Temperature Conversions 7/21/2010. EE580 Solar Cells Todd J. Kaiser. Thermally Activated Processes 7/1/010 EE80 Solar Cells Todd J. Kaiser Flow of Wafer in Fabrication Lecture 0 Microfabrication A combination of Applied Chemistry, Physics and ptics Thermal Processes Diffusion & xidation Photolithograpy

More information

UVIII POSITIVE DUV PHOTO RESISTS

UVIII POSITIVE DUV PHOTO RESISTS UVIII POSITIVE DUV PHOTO RESISTS UVIII POSITIVE DUV PHOTO RESIST is optimized to provide wide process latitude for

More information

EE 5344 Introduction to MEMS. CHAPTER 3 Conventional Si Processing

EE 5344 Introduction to MEMS. CHAPTER 3 Conventional Si Processing 3. Conventional licon Processing Micromachining, Microfabrication. EE 5344 Introduction to MEMS CHAPTER 3 Conventional Processing Why silicon? Abundant, cheap, easy to process. licon planar Integrated

More information

3. Photolithography, patterning and doping techniques. KNU Seminar Course 2015 Robert Mroczyński

3. Photolithography, patterning and doping techniques. KNU Seminar Course 2015 Robert Mroczyński 3. Photolithography, patterning and doping techniques KNU Seminar Course 2015 Robert Mroczyński Critical technology processes Photolithography The aim of this process is to transfer (in the most accurate

More information

Microfabrication of Integrated Circuits

Microfabrication of Integrated Circuits Microfabrication of Integrated Circuits OUTLINE History Basic Processes Implant; Oxidation; Photolithography; Masks Layout and Process Flow Device Cross Section Evolution Lecture 38, 12/05/05 Reading This

More information

AZ P4620 Photoresist Data Package

AZ P4620 Photoresist Data Package AZ P4620 Photoresist Data Package The information contained herein is, as far as we are aware, true and accurate. However, no representations or warranties, either express or implied, whether of merchantable

More information

Pattern Dependent Satellite Defects in Via Lithography

Pattern Dependent Satellite Defects in Via Lithography Pattern Dependent Satellite Defects in Via Lithography Chih-Chieh Yu*, Mars Yang, Elvis Yang, T. H. Yang, K. C. Chen and Chih-Yuan Lu Macronix International Co. Ltd, No. 16, Li-Hsin Rd., Science Park,

More information

Advanced developer-soluble gap-fill materials and applications

Advanced developer-soluble gap-fill materials and applications Advanced developer-soluble gap-fill materials and applications Runhui Huang, Dan Sullivan, Anwei Qin, Shannon Brown Brewer Science, Inc., 2401 Brewer Dr., Rolla, MO, USA, 65401 ABSTRACT For the via-first

More information

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther EECS 40 Spring 2003 Lecture 19 Microfabrication 4/1/03 Prof. ndy Neureuther How are Integrated Circuits made? Silicon wafers Oxide formation by growth or deposition Other films Pattern transfer by lithography

More information

Complexity of IC Metallization. Early 21 st Century IC Technology

Complexity of IC Metallization. Early 21 st Century IC Technology EECS 42 Introduction to Digital Electronics Lecture # 25 Microfabrication Handout of This Lecture. Today: how are Integrated Circuits made? Silicon wafers Oxide formation by growth or deposition Other

More information

Basic&Laboratory& Materials&Science&and&Engineering& Micro&Electromechanical&Systems&& (MEMS)&

Basic&Laboratory& Materials&Science&and&Engineering& Micro&Electromechanical&Systems&& (MEMS)& Basic&Laboratory& Materials&Science&and&Engineering& Micro&Electromechanical&Systems&& (MEMS)& M105& As of: 27.10.2011 1 Introduction... 2 2 Materials used in MEMS fabrication... 2 3 MEMS fabrication processes...

More information

Supporting Information

Supporting Information Supporting Information The adhesion circle: A new approach to better characterize directional gecko-inspired dry adhesives Yue Wang, Samuel Lehmann, Jinyou Shao and Dan Sameoto* Department of Mechanical

More information

Toray Electro Coating Material Non- photosensitive Polyimide Semicofine SP-400 Series. Toray Industries Inc.

Toray Electro Coating Material Non- photosensitive Polyimide Semicofine SP-400 Series. Toray Industries Inc. Toray Electro Coating Material Non- photosensitive Polyimide Semicofine SP-400 Series Toray Industries Inc. 1 Contents 1. Product Line up of Toray s PI Coating Material 2. Mechanical Properties 3. Thermal

More information

Measurement of Parameters for Simulation of Deep UV Lithography Using a FT-IR Baking System

Measurement of Parameters for Simulation of Deep UV Lithography Using a FT-IR Baking System Measurement of Parameters for Simulation of Deep UV Lithography Using a FT-IR Baking System Atsushi Sekiguchi, Chris A. Mack*, Mariko Isono, Toshiharu Matsuzawa Litho Tech Japan Corp., 2-6-6, Namiki, Kawaguchi,

More information

Modeling anomalous depth dependent dissolution effects in. chemically amplified resists

Modeling anomalous depth dependent dissolution effects in. chemically amplified resists Modeling anomalous depth dependent dissolution effects in chemically amplified resists Mosong Cheng, Jacek Tyminski*, Ebo Croffie, Andrew Neureuther Electronic Research Laboratory Department of Electrical

More information

In-situ Metrology for Deep Ultraviolet Lithography Process Control

In-situ Metrology for Deep Ultraviolet Lithography Process Control In-situ Metrology for Deep Ultraviolet Lithography Process Control Nickhil Jakatdar 1, Xinhui Niu, John Musacchio, Costas J. Spanos Dept. of Electrical Engineering and Computer Sciences, University of

More information

Schematic creation of MOS field effect transistor.

Schematic creation of MOS field effect transistor. Schematic creation of MOS field effect transistor. Gate electrode Drain electrode Source electrode Gate oxide Gate length Page 1 Step 0 The positively doped silicon wafer is first coated with an insulating

More information

MEMS Surface Fabrication

MEMS Surface Fabrication ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING MEMS Surface Fabrication Dr. Lynn Fuller webpage: http://people.rit.edu/lffeee Electrical and Microelectronic Engineering Rochester Institute

More information

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

Semiconductor Manufacturing Technology. IC Fabrication Process Overview Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 00 by Prentice Hall Chapter 9 IC Fabrication Process Overview /4 Objectives After studying the material in this chapter, you

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

All fabrication was performed on Si wafers with 285 nm of thermally grown oxide to

All fabrication was performed on Si wafers with 285 nm of thermally grown oxide to Supporting Information: Substrate preparation and SLG growth: All fabrication was performed on Si wafers with 285 nm of thermally grown oxide to aid in visual inspection of the graphene samples. Prior

More information

More on VLSI Fabrication Technologies. Emanuele Baravelli

More on VLSI Fabrication Technologies. Emanuele Baravelli More on VLSI Fabrication Technologies Emanuele Baravelli Some more details on: 1. VLSI meaning 2. p-si epitaxial layer 3. Lithography 4. Metallization 5. Process timings What does VLSI mean, by the way?

More information

Processing Procedures for CYCLOTENE 3000 Series Dry Etch Resins

Processing Procedures for CYCLOTENE 3000 Series Dry Etch Resins Revised: April 2008 Processing Procedures for CYCLOTENE 3000 Series Dry Etch Resins 1. INTRODUCTION The CYCLOTENE 3000 series Advanced Electronic Resins derived from B-staged bisbenzocyclobutene (BCB)

More information

CYCLOTENE* 3000 Series Advanced Electronic Resins

CYCLOTENE* 3000 Series Advanced Electronic Resins CYCLOTENE* 3000 Series Advanced Electronic Resins Revised: February 2005 Processing Procedures for CYCLOTENE 3000 Series Dry Etch Resins 1. INTRODUCTION The CYCLOTENE 3000 series advance electronic resins

More information

Bulk MEMS Fabrication Details Dr. Lynn Fuller, Casey Gonta, Patsy Cadareanu

Bulk MEMS Fabrication Details Dr. Lynn Fuller, Casey Gonta, Patsy Cadareanu ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Bulk MEMS Fabrication Details Dr. Lynn Fuller, Casey Gonta, Patsy Cadareanu Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester,

More information

Lithography Simulation of Sub-0.30 Micron Resist Features for Photomask Fabrication using I-line Optical Pattern Generators

Lithography Simulation of Sub-0.30 Micron Resist Features for Photomask Fabrication using I-line Optical Pattern Generators Lithography Simulation of Sub-0.30 Micron Resist Features for Photomask Fabrication using I-line Optical Pattern Generators Benjamen M. Rathsack 1, Cyrus E. Tabery 1, Cece Philbin 2 and C. Grant Willson

More information

Lecture 4 Lithography II

Lecture 4 Lithography II F. G. Tseng Lec4, Fall/2016, p1 Lecture 4 Lithography II!! Resist types 1.!Optical negative resist a.!polymer get cross link after exposure b.!developer is usually solvent (xylene ( ), toluene ( ), halogenated

More information

TECHNICAL DATA SHEET DESCRIPTION PHYSICAL CHARACTERISTICS PRODUCT CHARACTERISTICS ETERTEC PR8200Y1 PHOTO-IMAGEABLE COVERLAY

TECHNICAL DATA SHEET DESCRIPTION PHYSICAL CHARACTERISTICS PRODUCT CHARACTERISTICS ETERTEC PR8200Y1 PHOTO-IMAGEABLE COVERLAY TECHNICAL DATA SHEET ETERTEC PR8200Y1 PHOTO-IMAGEABLE COVERLAY DESCRIPTION ETERTEC PR8200Y1 is an alkaline processable dry film photopolymer permanent photoresist utilising epoxy chemistry materials well

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

Low Temperature Curable Positive Tone Photosensitive Polyimide Photoneece LT series. Toray Industries, Inc.

Low Temperature Curable Positive Tone Photosensitive Polyimide Photoneece LT series. Toray Industries, Inc. Low Temperature Curable Positive Tone Photosensitive Polyimide Photoneece LT series Toray Industries, Inc. 1 The features of LT series (1) Low temperature curable ( ~170 ) Less damage for weak semiconductor

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 9/13/2007 Fabrication Technology Lecture 1 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world)

More information

EE432/532 CYMOS PROCESS PWELL LITHOGRAPHY AND DIFFUSION

EE432/532 CYMOS PROCESS PWELL LITHOGRAPHY AND DIFFUSION EE432/532 CYMOS PROCESS PWELL LITHOGRAPHY AND DIFFUSION [Document subtitle] GROUP 4 GROUP 4 (TUESDAY AFTERNOON) GROUP LEADER: ANDREW MCNEIL GROUP MEMBERS: WENG HOONG LOO MARIO PEREZ ZHIHAO LIAO LAB INSTRUCTOR

More information

Innovation Creativity customer-specific solutions. Product information PHOTORESISTS

Innovation Creativity customer-specific solutions. Product information PHOTORESISTS Product information PHOTORESISTS 1 Customer-specific solutions THE ALLRESIST GMBH Company for chemical Products OUR NEWS for Microstructuring As of January 2016 The executive board The company is represented

More information

Polymer-based Microfabrication

Polymer-based Microfabrication Polymer-based Microfabrication PDMS SU-8 PMMA Hydrogel 1 Soft Lithography Developed by Whitesides, et. al A set of techniques for microfabrication based on the use of lithography, soft substrate materials

More information

Silicon Manufacturing

Silicon Manufacturing Silicon Manufacturing Group Members Young Soon Song Nghia Nguyen Kei Wong Eyad Fanous Hanna Kim Steven Hsu th Fundamental Processing Steps 1.Silicon Manufacturing a) Czochralski method. b) Wafer Manufacturing

More information

Selective-Area Atomic Layer Deposition (SA-ALD) of Titanium Dioxide (TiO 2) using Poly(methyl methacrylate) (PMMA) Michael Tu 5/12/2016

Selective-Area Atomic Layer Deposition (SA-ALD) of Titanium Dioxide (TiO 2) using Poly(methyl methacrylate) (PMMA) Michael Tu 5/12/2016 Selective-Area Atomic Layer Deposition (SA-ALD) of Titanium Dioxide (TiO 2) using Poly(methyl methacrylate) (PMMA) Michael Tu 5/12/2016 Introduction The Minnesota Nano Center s Keller Hall facility includes

More information

Report 2. Aaron Pederson EE 432 Lab Dr. Meng Lu netid: abp250 Lab instructor: Yunfei Zhao. Steps:

Report 2. Aaron Pederson EE 432 Lab Dr. Meng Lu netid: abp250 Lab instructor: Yunfei Zhao. Steps: Aaron Pederson EE 432 Lab Dr. Meng Lu netid: abp250 Lab instructor: Yunfei Zhao Report 2 A. Overview This section comprised of source and drain construction for the NMOS and PMOS. This includes two different

More information

Fabrication Process. Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation CONCORDIA VLSI DESIGN LAB

Fabrication Process. Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation CONCORDIA VLSI DESIGN LAB Fabrication Process Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation 1 Fabrication- CMOS Process Starting Material Preparation 1. Produce Metallurgical Grade Silicon

More information

How To Write A Flowchart

How To Write A Flowchart 1 Learning Objectives To learn how you transfer a device concept into a process flow to fabricate the device in the EKL labs You learn the different components that makes up a flowchart; process blocks,

More information

Chapter 3 CMOS processing technology

Chapter 3 CMOS processing technology Chapter 3 CMOS processing technology (How to make a CMOS?) Si + impurity acceptors(p-type) donors (n-type) p-type + n-type => pn junction (I-V) 3.1.1 (Wafer) Wafer = A disk of silicon (0.25 mm - 1 mm thick),

More information

Chemical Vapor Deposition

Chemical Vapor Deposition Chemical Vapor Deposition ESS4810 Lecture Fall 2010 Introduction Chemical vapor deposition (CVD) forms thin films on the surface of a substrate by thermal decomposition and/or reaction of gas compounds

More information

Key Technologies for Next Generation EUV Lithography

Key Technologies for Next Generation EUV Lithography Key Technologies for Next Generation EUV Lithography September 15, 2017 Toshi Nishigaki Vice President and General Manager Advanced Semiconductor Technology Division / Tokyo Electron Limited Toshi Nishigaki

More information

Mostafa Soliman, Ph.D. May 5 th 2014

Mostafa Soliman, Ph.D. May 5 th 2014 Mostafa Soliman, Ph.D. May 5 th 2014 Mostafa Soliman, Ph.D. 1 Basic MEMS Processes Front-End Processes Back-End Processes 2 Mostafa Soliman, Ph.D. Wafers Deposition Lithography Etch Chips 1- Si Substrate

More information

Questions and Answers Around the Most Common Problems in MicroStructuring

Questions and Answers Around the Most Common Problems in MicroStructuring Lithography Trouble Shooter Questions and Answers Around the Most Common Problems in MicroStructuring 2012 Dear Reader, The launch of a litho-process from concept to optimized result as well as the running

More information