Ion Implantation Most modern devices doped using ion implanters Implant dopants by accelerating individual atoms (ions) Ionize gas sources (single +,

Size: px
Start display at page:

Download "Ion Implantation Most modern devices doped using ion implanters Implant dopants by accelerating individual atoms (ions) Ionize gas sources (single +,"

Transcription

1 Ion Implantation Most modern devices doped using ion implanters Implant dopants by accelerating individual atoms (ions) Ionize gas sources (single +, 2+ or 3+ ionization) Use analyzer to selection charge/mass ratio (ie ionization) Accelerate dopant ions to very high voltages ( KeV) Bend beam to remove neutral ions Raster scan target: implant all areas at specific doping thus slow Just integrate charge to get total dopant level for wafer Ion Implanter Implanter end station

2 Ion Implantation Advantages Precise control of doping levels in both position and depth Measure dopants dose in atom/cm 2 Much less dopant spreading (sideways & down) Regular diffusion spreading is several microns Hence implant needed for small structures devices <2 μm Disadvantages Implanters expensive $1 - $10 million To get depth may need high voltage/high current double or triple ionized (which gives lower rates) Heavy radiation damage to crystal Dopant need to be activated (tends to go interstitially) Implant creates high temperature in resist & loads it with dopant Hence resist very hard to strip Single wafer and raster scan thus long time to implant Diffusion does many wafers at once, implant one at a time

3 Ion Implant useful Formulas Energy E i in each ion is (in electron Volts) 1 2 E i = mv = ZeV 2 Where V = accelerating voltage (Volts) v = velocity of the ion m = mass of the ion Z = e charges on the ion (number of charges) e = electron charge = 1.60x10-19 C Thus 1 ev = 1.60x10-19 Joules Source atoms are single isotope ie same atomic weight Eg P 31 phosphorus with 31 atomic weight (15 protons, 16 neutrons) Atom s mass is atomic weight times Atomic Mass Unit (amu) amu = x10-27 kg Implant values are given as beam current in Amps current is same if either electrons or ions Total implant dose Q is Q = It ZeA Where I = beam current (Amps) t = implant time to scan area (sec) A = area (sq cm) Energy from ions are deposited throughout stopping range

4 Dopant Range with Implanter Ions follow a Gaussian atomic stopping range N ( x) = 2 ( x Rp ) Q and N p = 2 2( ΔR ) ΔR p Q exp 2π ΔR p p 2π R p = Peak range (depth of the Gaussian peak) ΔR p = Straggle of range width of Gaussian N p = dopant density at the peak range Both R p and ΔR p are function of ion type, energy, and target (cross section for stopping in material)

5 Implanter Projected Range R p Varies with accelerating voltage dopant and substrate material Ions & targets have different interaction cross sections Calculated using complex stopping Monte Carlo programs Different values for implanting silicon or glass Standard reference Gibbons, Johnson, and Mylroie, Projected Range Statistics, 2nd. Ed Brigham Young Univ has nice implant range/straggle calculator Note values vary with table depending on calculation method

6 Implanter Straggle ΔR p Varies with accelerating voltage, dopant ion, & substrate Normal straggle ΔR p is into depth Transverse straggle ΔR is sideways under mask edge

7 Range and Straggle Tables Implant R p, ΔR p for common ions and energies in Silicon & oxide P in Si P in SiO2 B in Si B in SiO2 Energy (kev) Rp ΔRp Rp ΔRp Rp ΔRp Rp ΔRp *Rp and ΔRp in μm Gibbons, Johnson, and Mylroie, Projected Range Statistics, 2nd. Ed Implant R p, ΔR p, for 100 KeV Boron in different materials

8 Spreading of Implant Dopant from Opening Scattering of ions causes dopant to spread to side: ΔR Note peak begins to die off before edge of mask Spreading limits how close doped areas can be made Here assuming no implant through mask (not always true)

9 Implant Penetration through Mask Implant has dopant profile in mask but for mask material Note resist much less stopping power than oxide May result in penetration below mask: get tail of Gaussian Two important issues for penetration through mask N(x 0 ) (at the silicon surface) must be <N B wafer background Otherwise get junction at the surface Also total Si dopant must be small fraction of Q (typically <0.1%) Calculate using (when x 0 > R p ) Q ( > x ) 0 Q = erfc 2 ( x Rp ) ( ) 2 ΔRp

10 Buried Junctions with Implant Peak implant dopant is not at surface Thus can get n p n junctions with 1 implant Junction where implant falls below background N b

11 Implant Variation with Crystal Angle <110> axis has holes in structure Called Channeling of dopant Solved by putting off axis implant

12 Dopant Depth with Implanter Voltage Higher implant voltages: greater depth Note deviation from true Gaussian: Light ions (eg Boron) backscatter from Si More dopant on surface side than with Gaussian Heavy ions (eg. Arsenic) forward scattered (more As deeper)

13 Calculation of Implant Effect Use Monte Carlo method to show ion spread Trace path of single ion as moves through crystal Random process included Launch a few million ions and measure final distribution eg program: Pearson Type IV distributions

14 Crystal Damage of Implant Low does: only local damage little effect on crystal Medium does: large damage at ion point and in path High dose: destroys crystal structure of silicon Increasing implants, increasing damage Damage areas reduce carrier velocity, create traps Gives poor semiconductor device characteristics

15 Implant Crystal Damage Implant badly damages crystal Can turn single crystal Si into amorphous film Reduced by heating target - anneals out damage Also remove damage by raising crystal temperature after implant

16 Ion Implant and Dopant Locations Recall dopant atoms must be substitutional: for activation Ion implant tends to create Interstitial dopant: pushes out Si Interstitial mplant ions do not contribute carriers True Interstitial dopant atoms: not activated

17 Annealing Damage & Activating Dopants Need to heat surface to remove damage & activate dopant As implant level increases activation ratio decreases Heat moves dopant atoms into substitution positions - activates By /cm 2 less than 10% activated at implant Hence heating needed to activate Must reach a critical temperature ~ o C

18 Implant Activation Defect Healing Heating to remove crystal electrical damage - Primary Damage At low implants done in a furnace Dopant activation second requirement Problem: High temperature cause dopant to diffuse Thus activation changes dopant profiles! Became real problem in sub micron devices

19 Rapid Thermal Annealing Furnace activation moves dopant around: changes profile Use high intensity light to heat only dopant surface Light penetrates only few microns thus heats only surface Reach high local temperature: rapid healing/activation Rapidly cools when light off wafer itself is cool Little chance for dopant diffusion

20 Rapid Thermal Annealing Systems Lasers expensive, heat small area Instead use array of Halogen Heat Lamps Raises temperature of whole surface in seconds Can actually melt wafer surface Water cool back of target As only heat surface (not whole wafer) cools quickly

21 Dopant Movement in Later Processes All later thermal processes change dopant positions Any thermal processe causes diffusion Hence must adjust profile to take into account later processes Called process integration

22 Oxidation Dopant Segregation Furnace oxidization changes dopant profile Oxidation causes N dopant to pile up at surface Oxidation: P dopant depletion because dopant move into oxide

23 Silicon Etching (Ruska Ch. 6) Silicon etching important: for micromachining and IC s CMOS requires Poly Crystalline Silicon as a conductor Called Poly Si Modest resistance conductor depending on doping Usually highly doped silicon Poly Silicon etches similar to single crystal Si Changes depend on crystal size and doping Poly gate conductor creates self aligned process Deposit and define the gate on the gate insulator Gate creates the mask to position source/drain implant Now source & drain aligned to gate self aligned Older processes gate deposed after source/drain Hard to align gate to channel poor transistor characteristics

24 Etching Silicon Typical etch: HF and HNO 3 (nitric acid) combination Oxidation/reduction reaction Nitric oxidizes the silicon HF removes the oxide formed 3Si + 4 HNO HF 3H 2 SiF NO + 8H 2 O Ratio of HF/Nitric set etch rate

25 Typical Isotropic Silicon Etches Typically dilute with Acetic acid CH 3 COOH Reduces the etch rate

26 Diluted HF/Nitric/Acetic Etch rates depend on dilution

27 Common PolySilicon Etches Similar to single crystal Must control etch rate

28 Anisotropic Etching of Silicon Etching that proceeds along crystalline planes typically <111> plane slowest <100> fastest (ratio 30:1 to 100:1) Used extensively in micromachining & power transistors <100> wafers get "V" groves <110> wafers get vertical side walls

29 Typical Anisotropic Etchants of Silicon EDP (Ethylenediamine Pyrocatecol & water) most common Advantages: attacks silicon, not oxide or aluminum Disadvantage: poisonous Potassium Hydroxide (KOH) Advantages: good crystal plane selectivity silicon Advantages: attacks aluminum

30 Aluminum Multilayer Structures Aluminum most common conductor in CMOS Conductive and easy to deposit Easy to etch Used to make contacts to source/drain, gates Problem is in making multilevel structures Need to create intermetal insulator (typically glass) Must make contact between Al layers Aluminum grows a protective insulating oxide Just depositing one metal film on another does not make contact

31 Ohmic Contacts Aluminum oxide can create diode like contacts Want a pure Ohmic contact (linear resistance) Most initial metal/si are diode like Schottky diode contacts Caused by potential barrier of metal/semiconductor Often one directional (if 2 direction thin oxide barrier instead) Get this by sinter in dry nitrogen at end Typical 450 o C for 30 minutes Removes the oxide, creates ohmic contact

32 Aluminum Alloys Pure aluminum has reliability problems Sinter & high temperature creates difficulties Add Copper and Silicon Makes it much harder to etch

33 Aluminum Spike Through When Aluminum heated penetrates silicon Si moves in Al, Al into Si Get spikes which can short junctions Suppressed by adding 1-2% Si to Al

34 Phase diagram Silicon 1.5% Aluminum Eutectic Lowest melting point alloy

35 Preventing Spike Through Adding Si to Al prevents spikes Also put down Barrier metal layers Tungstan, Molybdenum most common Refractory metals

36 Aluminum and Hillocks When Al heated grows Hillocks Spikes up to 1 micron high! Can punch through intermetal glass layers Add copper to suppress Also for electromigration: tendency of metal to move when current applied Problem is Si/copper makes etching difficult

37 Aluminum Etching Oxidation: removal of electrons or ions from material M M + + e - Reduction: addition of electrons to reactant Redox reaction: both oxidation and reduction Aluminum etches are redox reactions 6H + + Al 3 H 2 + Al 3+ Must remove aluminum oxide for reaction

38 Typical Aluminum Etchants Most are Phosphoric Acid based (H 3 PO 4 ) Acetic for dilution Note: without oxide Al would etch in water

39 Creating a Sloped Sidewall for Al Want sloped sidewall for step coverage Thus over etch aluminum Allow resist to lose adhesion

40 Sand Removal in AlSi or AlSiCu Metal etch leaves Al rich Si sand Copper makes reaction worse must remove with a "sand remover" wet etch 29% H 2 O, 70% HF, 1% HNO 3

41 Lift Off Techniques Put defined resist below metal deposition Al goes through holes Then dissolve resist Extra Al floats away Problem is the "Sky is Falling Syndrome" Material left behind gets held on the surface Lands on surface when pulled from liquid

1. Introduction. What is implantation? Advantages

1. Introduction. What is implantation? Advantages Ion implantation Contents 1. Introduction 2. Ion range 3. implantation profiles 4. ion channeling 5. ion implantation-induced damage 6. annealing behavior of the damage 7. process consideration 8. comparison

More information

Etching Etching Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference

Etching Etching Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference Etching Etching Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between 2 materials Need strong selectivity from masking

More information

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation. Figure 2.1 (p. 58) Basic fabrication steps in the silicon planar process: (a) oxide formation, (b) selective oxide removal, (c) deposition of dopant atoms on wafer, (d) diffusion of dopant atoms into exposed

More information

Fabrication Technology

Fabrication Technology Fabrication Technology By B.G.Balagangadhar Department of Electronics and Communication Ghousia College of Engineering, Ramanagaram 1 OUTLINE Introduction Why Silicon The purity of Silicon Czochralski

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high vacuum ~10-7 torr Removes residual gases eg oxygen from

More information

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material on any substrate (in principal) Start with pumping down

More information

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005 3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005 1) This is an open book, take-home quiz. You are not to consult with other class members or anyone else. You may discuss the

More information

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University 2014 Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University Page1 Syllabus UNIT 1 Introduction to VLSI Technology: Classification of ICs, Scale of integration,

More information

ME 189 Microsystems Design and Manufacture. Chapter 9. Micromanufacturing

ME 189 Microsystems Design and Manufacture. Chapter 9. Micromanufacturing ME 189 Microsystems Design and Manufacture Chapter 9 Micromanufacturing This chapter will offer an overview of the application of the various fabrication techniques described in Chapter 8 in the manufacturing

More information

Silicon Wafer Processing PAKAGING AND TEST

Silicon Wafer Processing PAKAGING AND TEST Silicon Wafer Processing PAKAGING AND TEST Parametrical test using test structures regularly distributed in the wafer Wafer die test marking defective dies dies separation die fixing (not marked as defective)

More information

Isolation Technology. Dr. Lynn Fuller

Isolation Technology. Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Isolation Technology Dr. Lynn Fuller Motorola Professor 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Fax (585) 475-5041

More information

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras Lecture - 33 Problems in LOCOS + Trench Isolation and Selective Epitaxy So, we are discussing

More information

Metallization. Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance

Metallization. Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance Metallization Interconnects Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance RC time delay Inter-Metal Dielectric -Prefer low dielectric constant to reduce capacitance

More information

Atomic Layer Deposition(ALD)

Atomic Layer Deposition(ALD) Atomic Layer Deposition(ALD) AlO x for diffusion barriers OLED displays http://en.wikipedia.org/wiki/atomic_layer_deposition#/media/file:ald_schematics.jpg Lam s market-leading ALTUS systems combine CVD

More information

Metallization. Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance

Metallization. Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance Metallization Interconnects Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance RC time delay Inter-Metal Dielectric -Prefer low dielectric constant to reduce capacitance

More information

Process Flow in Cross Sections

Process Flow in Cross Sections Process Flow in Cross Sections Process (simplified) 0. Clean wafer in nasty acids (HF, HNO 3, H 2 SO 4,...) --> wear gloves! 1. Grow 500 nm of SiO 2 (by putting the wafer in a furnace with O 2 2. Coat

More information

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Metallization deposition and etching. Material mainly taken from Campbell, UCCS Metallization deposition and etching Material mainly taken from Campbell, UCCS Application Metallization is back-end processing Metals used are aluminum and copper Mainly involves deposition and etching,

More information

Semiconductor Technology

Semiconductor Technology Semiconductor Technology von A bis Z Metallization www.halbleiter.org Contents Contents List of Figures List of Tables II III 1 Metallization 1 1.1 Requirements on metallization........................

More information

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

Semiconductor Manufacturing Technology. IC Fabrication Process Overview Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 00 by Prentice Hall Chapter 9 IC Fabrication Process Overview /4 Objectives After studying the material in this chapter, you

More information

VLSI Technology. By: Ajay Kumar Gautam

VLSI Technology. By: Ajay Kumar Gautam By: Ajay Kumar Gautam Introduction to VLSI Technology, Crystal Growth, Oxidation, Epitaxial Process, Diffusion Process, Ion Implantation, Lithography, Etching, Metallization, VLSI Process Integration,

More information

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther EECS 40 Spring 2003 Lecture 19 Microfabrication 4/1/03 Prof. ndy Neureuther How are Integrated Circuits made? Silicon wafers Oxide formation by growth or deposition Other films Pattern transfer by lithography

More information

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1 Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1 LECTURE 030 INTEGRATED CIRCUIT TECHNOLOGY - I (References [7,8]) Objective The objective of this presentation is: 1.) Illustrate integrated

More information

Lecture 4. Oxidation (applies to Si and SiC only) Reading: Chapter 4

Lecture 4. Oxidation (applies to Si and SiC only) Reading: Chapter 4 Lecture 4 Oxidation (applies to Si and SiC only) Reading: Chapter 4 Introduction discussion: Oxidation: Si (and SiC) Only The ability to grow a high quality thermal oxide has propelled Si into the forefront

More information

Section 4: Thermal Oxidation. Jaeger Chapter 3. EE143 - Ali Javey

Section 4: Thermal Oxidation. Jaeger Chapter 3. EE143 - Ali Javey Section 4: Thermal Oxidation Jaeger Chapter 3 Properties of O Thermal O is amorphous. Weight Density =.0 gm/cm 3 Molecular Density =.3E molecules/cm 3 O Crystalline O [Quartz] =.65 gm/cm 3 (1) Excellent

More information

VLSI Systems and Computer Architecture Lab

VLSI Systems and Computer Architecture Lab ΚΥΚΛΩΜΑΤΑ VLSI Πανεπιστήμιο Ιωαννίνων CMOS Technology Τμήμα Μηχανικών Η/Υ και Πληροφορικής 1 From the book: An Introduction ti to VLSI Process By: W. Maly ΚΥΚΛΩΜΑΤΑ VLSI Διάρθρωση 1. N well CMOS 2. Active

More information

Surface micromachining and Process flow part 1

Surface micromachining and Process flow part 1 Surface micromachining and Process flow part 1 Identify the basic steps of a generic surface micromachining process Identify the critical requirements needed to create a MEMS using surface micromachining

More information

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE Dr. Alan Doolittle

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE Dr. Alan Doolittle Lecture 12 Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12 Evaporation and Sputtering (Metalization) Evaporation For all devices, there is a need to go from semiconductor to metal.

More information

CMOS FABRICATION. n WELL PROCESS

CMOS FABRICATION. n WELL PROCESS CMOS FABRICATION n WELL PROCESS Step 1: Si Substrate Start with p- type substrate p substrate Step 2: Oxidation Exposing to high-purity oxygen and hydrogen at approx. 1000 o C in oxidation furnace SiO

More information

Electrical Properties of Ultra Shallow p Junction on n type Si Wafer Using Decaborane Ion Implantation

Electrical Properties of Ultra Shallow p Junction on n type Si Wafer Using Decaborane Ion Implantation Mat. Res. Soc. Symp. Proc. Vol. 686 2002 Materials Research Society Electrical Properties of Ultra Shallow p Junction on n type Si Wafer Using Decaborane Ion Implantation Jae-Hoon Song, Duck-Kyun Choi

More information

Section 4: Thermal Oxidation. Jaeger Chapter 3

Section 4: Thermal Oxidation. Jaeger Chapter 3 Section 4: Thermal Oxidation Jaeger Chapter 3 Properties of O Thermal O is amorphous. Weight Density =.0 gm/cm 3 Molecular Density =.3E molecules/cm 3 O Crystalline O [Quartz] =.65 gm/cm 3 (1) Excellent

More information

Doping and Silicon Reference: Chapter 4 Jaeger or Chapter 3 Ruska

Doping and Silicon Reference: Chapter 4 Jaeger or Chapter 3 Ruska Doping and Silicon Reference: Chapter 4 Jaeger or Chapter 3 Ruska Recalll dopants in silicon (column IV element) Column V extra electrons N type dopant N D P Phospherus, As Arsenic & Sb Antimony most common

More information

Radiation Tolerant Isolation Technology

Radiation Tolerant Isolation Technology Radiation Tolerant Isolation Technology Background The following contains a brief description of isolation technologies used for radiation hardened integrated circuits. The technologies mentioned are junction

More information

Technology. Semiconductor Manufacturing. Hong Xiao INTRODUCTION TO SECOND EDITION SPIE PRESS

Technology. Semiconductor Manufacturing. Hong Xiao INTRODUCTION TO SECOND EDITION SPIE PRESS INTRODUCTION TO Semiconductor Manufacturing Technology SECOND EDITION Hong Xiao TECHNISCHE INFORMATIONSBiBUOTHEK UNIVERSITATSBIBLIOTHEK HANNOVER SPIE PRESS Bellingham,Washington USA Contents Preface to

More information

How To Write A Flowchart

How To Write A Flowchart 1 Learning Objectives To learn how you transfer a device concept into a process flow to fabricate the device in the EKL labs You learn the different components that makes up a flowchart; process blocks,

More information

Today s agenda (19-JAN-2010)

Today s agenda (19-JAN-2010) Today s agenda (19-JAN-2010) 1) Overview of Integrated Circuit technology 2) Managing Deadlines 3) A look @ Spring Schedule 4) Suggested milestones 5) Project concept presentations Action items from last

More information

National Semiconductor LM2672 Simple Switcher Voltage Regulator

National Semiconductor LM2672 Simple Switcher Voltage Regulator Construction Analysis National Semiconductor LM2672 Simple Switcher Voltage Regulator Report Number: SCA 9712-570 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale,

More information

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate Development of Sidewalls Passivating Films Sidewalls get inert species deposited on them with plasma etch Creates

More information

EE C247B ME C218 Introduction to MEMS Design Spring 2017

EE C247B ME C218 Introduction to MEMS Design Spring 2017 EE247B/ME218 Introduction to MEMS Design Module 4 Lithography, Etching, & Doping EE C247B ME C218 Introduction to MEMS Design Spring 2017 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer

More information

Chapter 2. Density 2.65 g/cm 3 Melting point Young s modulus Tensile strength Thermal conductivity Dielectric constant 3.

Chapter 2. Density 2.65 g/cm 3 Melting point Young s modulus Tensile strength Thermal conductivity Dielectric constant 3. Chapter 2 Thin Film Materials Thin films of Silicon dioxide, Silicon nitride and Polysilicon have been utilized in the fabrication of absolute micro pressure sensor. These materials are studied and discussed

More information

R Sensor resistance (Ω) ρ Specific resistivity of bulk Silicon (Ω cm) d Diameter of measuring point (cm)

R Sensor resistance (Ω) ρ Specific resistivity of bulk Silicon (Ω cm) d Diameter of measuring point (cm) 4 Silicon Temperature Sensors 4.1 Introduction The KTY temperature sensor developed by Infineon Technologies is based on the principle of the Spreading Resistance. The expression Spreading Resistance derives

More information

Lecture 5. SOI Micromachining. SOI MUMPs. SOI Micromachining. Silicon-on-Insulator Microstructures. Agenda:

Lecture 5. SOI Micromachining. SOI MUMPs. SOI Micromachining. Silicon-on-Insulator Microstructures. Agenda: EEL6935 Advanced MEMS (Spring 2005) Instructor: Dr. Huikai Xie SOI Micromachining Agenda: SOI Micromachining SOI MUMPs Multi-level structures Lecture 5 Silicon-on-Insulator Microstructures Single-crystal

More information

Laser Spike Annealing for sub-20nm Logic Devices

Laser Spike Annealing for sub-20nm Logic Devices Laser Spike Annealing for sub-20nm Logic Devices Jeff Hebb, Ph.D. July 10, 2014 1 NCCAVS Junction Technology Group Semicon West Meeting July 10, 2014 Outline Introduction Pattern Loading Effects LSA Applications

More information

Implant Metrology for Bonded SOI Wafers Using a Surface Photo-Voltage Technique

Implant Metrology for Bonded SOI Wafers Using a Surface Photo-Voltage Technique Implant Metrology for Bonded SOI Wafers Using a Surface Photo-Voltage Technique Adam Bertuch a, Wesley Smith a, Ken Steeples a, Robert Standley b, Anca Stefanescu b, and Ron Johnson c a QC Solutions Inc.,

More information

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials Interconnects Outline Interconnect scaling issues Aluminum technology Copper technology 1 Properties of Interconnect Materials Metals Silicides Barriers Material Thin film Melting resistivity point ( C)

More information

EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES

EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES G. Fortunato, A. Pecora, L. Maiolo, M. Cuscunà, D. Simeone, A. Minotti, and L. Mariucci CNR-IMM,

More information

PROCESSING OF INTEGRATED CIRCUITS

PROCESSING OF INTEGRATED CIRCUITS PROCESSING OF INTEGRATED CIRCUITS Overview of IC Processing (Part I) Silicon Processing Lithography Layer Processes Use in IC Fabrication (Part II) Integrating the Fabrication Steps IC Packaging (Part

More information

CMOS Manufacturing Process

CMOS Manufacturing Process CMOS Manufacturing Process CMOS Process A Modern CMOS Process gate-oxide TiSi 2 AlCu Tungsten SiO 2 n+ p-well p-epi poly n-well p+ SiO 2 p+ Dual-Well Trench-Isolated CMOS Process Circuit Under Design V

More information

5.8 Diaphragm Uniaxial Optical Accelerometer

5.8 Diaphragm Uniaxial Optical Accelerometer 5.8 Diaphragm Uniaxial Optical Accelerometer Optical accelerometers are based on the BESOI (Bond and Etch back Silicon On Insulator) wafers, supplied by Shin-Etsu with (100) orientation, 4 diameter and

More information

From microelectronics down to nanotechnology.

From microelectronics down to nanotechnology. From microelectronics down to nanotechnology sami.franssila@tkk.fi Contents Lithography: scaling x- and y-dimensions MOS transistor physics Scaling oxide thickness (z-dimension) CNT transistors Conducting

More information

Schottky-Barrier-Height Modulation of Ni Silicide/Si Contacts by Insertion of Thin Er or Pt Layers

Schottky-Barrier-Height Modulation of Ni Silicide/Si Contacts by Insertion of Thin Er or Pt Layers Schottky-Barrier-Height Modulation of Ni Silicide/Si Contacts by Insertion of Thin Er or Pt Layers Yoshihisa Ohishi 1, Kohei Noguchi 1, Kuniyuki Kakushima 2, Parhat Ahmet 1, Kazuo Tsutsui 2, Nobuyuki Sugii

More information

2006 UPDATE METROLOGY

2006 UPDATE METROLOGY INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS METROLOGY THE ITRS DEVED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING TO INDIVIDUAL PRODUCTS

More information

id : class06 passwd: class06

id : class06 passwd: class06 http://wwwee.stanford.edu/class_directory.php http://ocw.mit.edu/ocwweb/index.htm http://nanosioe.ee.ntu.edu.tw id : class06 passwd: class06 Display and OLED Market OLED on glass only ~US$ 0.5B in 04,

More information

EE-612: Lecture 28: Overview of SOI Technology

EE-612: Lecture 28: Overview of SOI Technology EE-612: Lecture 28: Overview of SOI Technology Mark Lundstrom Electrical and Computer Engineering Purdue University West Lafayette, IN USA Fall 2006 NCN www.nanohub.org Lundstrom EE-612 F06 1 outline 1)

More information

KGC SCIENTIFIC Making of a Chip

KGC SCIENTIFIC  Making of a Chip KGC SCIENTIFIC www.kgcscientific.com Making of a Chip FROM THE SAND TO THE PACKAGE, A DIAGRAM TO UNDERSTAND HOW CPU IS MADE? Sand CPU CHAIN ANALYSIS OF SEMICONDUCTOR Material for manufacturing process

More information

Challenges of Silicon Carbide MOS Devices

Challenges of Silicon Carbide MOS Devices Indo German Winter Academy 2012 Challenges of Silicon Carbide MOS Devices Arjun Bhagoji IIT Madras Tutor: Prof. H. Ryssel 12/17/2012 1 Outline What is Silicon Carbide (SiC)? Why Silicon Carbide? Applications

More information

SURFACE MICROMACHINING

SURFACE MICROMACHINING SURFACE MICROMACHINING Features are built up, layer by layer on the surface of a substrate. Surface micromachined devices are much smaller than bulk micromachined components. Nature of deposition process

More information

Imperfections: Good or Bad? Structural imperfections (defects) Compositional imperfections (impurities)

Imperfections: Good or Bad? Structural imperfections (defects) Compositional imperfections (impurities) Imperfections: Good or Bad? Structural imperfections (defects) Compositional imperfections (impurities) 1 Structural Imperfections A perfect crystal has the lowest internal energy E Above absolute zero

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2010

EE C245 ME C218 Introduction to MEMS Design Fall 2010 Lecture Outline EE C245 ME C218 Introduction to MEMS Design Fall 2010 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720

More information

Isolation of elements

Isolation of elements 1 In an IC, devices on the same substrate must be isolated from one another so that there is no current conduction between them. Isolation uses either the junction or dielectric technique or a combination

More information

ME 141B: The MEMS Class Introduction to MEMS and MEMS Design. Sumita Pennathur UCSB

ME 141B: The MEMS Class Introduction to MEMS and MEMS Design. Sumita Pennathur UCSB ME 141B: The MEMS Class Introduction to MEMS and MEMS Design Sumita Pennathur UCSB Outline today Introduction to thin films Oxidation Deal-grove model CVD Epitaxy Electrodeposition 10/6/10 2/45 Creating

More information

Measurement of thickness of native silicon dioxide with a scanning electron microscope

Measurement of thickness of native silicon dioxide with a scanning electron microscope Measurement of thickness of native silicon dioxide with a scanning electron microscope V. P. Gavrilenko* a, Yu. A. Novikov b, A. V. Rakov b, P. A. Todua a a Center for Surface and Vacuum Research, 40 Novatorov

More information

COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING

COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING Lynne Michaelson 1, Anh Viet Nguyen 2, Krystal Munoz 1, Jonathan C. Wang

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2011

EE C245 ME C218 Introduction to MEMS Design Fall 2011 Lecture Outline EE C245 ME C218 Introduction to MEMS Design Fall 2011 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720

More information

CHAPTER 1 HOW SEMICONDUCTOR CHIPS ARE MADE

CHAPTER 1 HOW SEMICONDUCTOR CHIPS ARE MADE CHAPTER 1 HOW SEMICONDUCTOR CHIPS ARE MADE Hwaiyu Geng Hewlett-Packard Company Palo Alto, California Lin Zhou Intel Corporation Hillsboro, Oregon 1.1 INTRODUCTION Over the past decades, an information

More information

ENG/PHYS3320 Microsystems Technology Chapter 2 Fabrication of Microsystems

ENG/PHYS3320 Microsystems Technology Chapter 2 Fabrication of Microsystems ENG/PHYS3320 Microsystems Technology Chapter 2 Fabrication of Microsystems ENG/PHYS3320: R.I. Hornsey Fab: 1 Fabrication Many of the new transducers are based on a technology known as micromachining a

More information

Surface Micromachining

Surface Micromachining Surface Micromachining Outline Introduction Material often used in surface micromachining Material selection criteria in surface micromachining Case study: Fabrication of electrostatic motor Major issues

More information

Rockwell R RF to IF Down Converter

Rockwell R RF to IF Down Converter Construction Analysis Rockwell R6732-13 RF to IF Down Converter Report Number: SCA 9709-552 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780

More information

Lab #2 Wafer Cleaning (RCA cleaning)

Lab #2 Wafer Cleaning (RCA cleaning) Lab #2 Wafer Cleaning (RCA cleaning) RCA Cleaning System Used: Wet Bench 1, Bay1, Nanofabrication Center Chemicals Used: H 2 O : NH 4 OH : H 2 O 2 (5 : 1 : 1) H 2 O : HF (10 : 1) H 2 O : HCl : H 2 O 2

More information

CMOS Manufacturing process. Circuit designer. Design rule set. Process engineer. Set of optical masks. Fabrication process.

CMOS Manufacturing process. Circuit designer. Design rule set. Process engineer. Set of optical masks. Fabrication process. CMOS Manufacturing process Circuit design Set of optical masks Fabrication process Circuit designer Design rule set Process engineer All material: Chap. 2 of J. Rabaey, A. Chandrakasan, B. Nikolic, Digital

More information

Kerf! Microns. Driving Forces Impact of kerf is substantial in terms of silicon usage 50 % of total thickness for 100 mm wafers

Kerf! Microns. Driving Forces Impact of kerf is substantial in terms of silicon usage 50 % of total thickness for 100 mm wafers 2nd. Annual c-si PVMC Workshop at Intersolar NA, San Francisco, CA, July 2013 1 Microns Kerf! Driving Forces Impact of kerf is substantial in terms of silicon usage 50 % of total thickness for 100 mm wafers

More information

Intel Pentium Processor W/MMX

Intel Pentium Processor W/MMX Construction Analysis Intel Pentium Processor W/MMX Report Number: SCA 9706-540 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax:

More information

Lecture 10: MultiUser MEMS Process (MUMPS)

Lecture 10: MultiUser MEMS Process (MUMPS) MEMS: Fabrication Lecture 10: MultiUser MEMS Process (MUMPS) Prasanna S. Gandhi Assistant Professor, Department of Mechanical Engineering, Indian Institute of Technology, Bombay, 1 Recap Various VLSI based

More information

LANDOLT-BÖRNSTEIN. Zahlenwerte und Funktionen aus Naturwissenschaften und Technik. Neue Serie. Gesamtherausgabe: K.-H. Hellwege O.

LANDOLT-BÖRNSTEIN. Zahlenwerte und Funktionen aus Naturwissenschaften und Technik. Neue Serie. Gesamtherausgabe: K.-H. Hellwege O. LANDOLT-BÖRNSTEIN Zahlenwerte und Funktionen aus Naturwissenschaften und Technik Neue Serie Gesamtherausgabe: K.-H. Hellwege O. Madelung Gruppe III: Kristall- und Festkörperphysik Band 17 Halbleiter Herausgeber:

More information

Regents of the University of California

Regents of the University of California Surface-Micromachining Process Flow Photoresist Sacrificial Oxide Structural Polysilcon Deposit sacrificial PSG: Target = 2 m 1 hr. 40 min. LPCVD @450 o C Densify the PSG Anneal @950 o C for 30 min. Lithography

More information

MEMS Fabrication I : Process Flows and Bulk Micromachining

MEMS Fabrication I : Process Flows and Bulk Micromachining MEMS Fabrication I : Process Flows and Bulk Micromachining Dr. Thara Srinivasan Lecture 2 Picture credit: Alien Technology Lecture Outline Reading Reader is in! (at South side Copy Central) Kovacs, Bulk

More information

Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process

Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process by Kozue Yabusaki * and Hirokazu Sasaki * In recent years the FIB technique has been widely used for specimen

More information

Historical Development. Babbage s second computer. Before the digital age

Historical Development. Babbage s second computer. Before the digital age Historical Development To fully appreciate the computers of today, it is helpful to understand how things got the way they are The evolution of computing machinery has taken place over several centuries

More information

Modeling of Local Oxidation Processes

Modeling of Local Oxidation Processes Introduction Isolation Processes in the VLSI Technology Main Aspects of LOCOS simulation Athena Oxidation Models Several Examples of LOCOS structures Calibration of LOCOS effects using VWF Field Oxide

More information

Excimer Laser Annealing of Hydrogen Modulation Doped a-si Film

Excimer Laser Annealing of Hydrogen Modulation Doped a-si Film Materials Transactions, Vol. 48, No. 5 (27) pp. 975 to 979 #27 The Japan Institute of Metals Excimer Laser Annealing of Hydrogen Modulation Doped a-si Film Akira Heya 1, Naoto Matsuo 1, Tadashi Serikawa

More information

A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon

A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon April 2009 A Deep Silicon RIE Primer 1.0) Etching: Silicon does not naturally etch anisotropically in fluorine based chemistries. Si

More information

Dallas Semicoductor DS80C320 Microcontroller

Dallas Semicoductor DS80C320 Microcontroller Construction Analysis Dallas Semicoductor DS80C320 Microcontroller Report Number: SCA 9702-525 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone:

More information

Figure 16.31: Two-dimensional representations of (a) a quartz crystal and (b) a quartz glass.

Figure 16.31: Two-dimensional representations of (a) a quartz crystal and (b) a quartz glass. Figure 16.31: Two-dimensional representations of (a) a quartz crystal and (b) a quartz glass. Figure 16.28: The p orbitals (a) perpendicular to the plane of th carbon ring system in graphite can combine

More information

Surface Micromachining Process for the Integration of AlN Piezoelectric Microstructures

Surface Micromachining Process for the Integration of AlN Piezoelectric Microstructures Surface Micromachining Process for the Integration of AlN Piezoelectric Microstructures Saravanan. S, Erwin Berenschot, Gijs Krijnen and Miko Elwenspoek Transducers Science and Technology Laboratory University

More information

Sputtering Target of Oxide Semiconductor with High Electron Mobility and High Stability for Flat Panel Displays

Sputtering Target of Oxide Semiconductor with High Electron Mobility and High Stability for Flat Panel Displays ELECTRONICS Sputtering Target of Oxide Semiconductor with High Electron Mobility and High Stability for Flat Panel Displays Miki MIYANAGA*, Kenichi WATATANI, and Hideaki AWATA ----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------

More information

Design & Fabrication of a High-Voltage Photovoltaic Cell. Jennifer Felder

Design & Fabrication of a High-Voltage Photovoltaic Cell. Jennifer Felder SLAC-TN-12-021 Design & Fabrication of a High-Voltage Photovoltaic Cell Jennifer Felder Office of Science, Science Undergraduate Laboratory Internship (SULI) North Carolina State University SLAC National

More information

Modern Methods of Surface Engineering

Modern Methods of Surface Engineering LVIV POLYTECHNIC NATIONAL UNIVERSITY Modern Methods of Surface Engineering Institute of Engineering Mechanics and Transport Department of Applied Materials Science and Materials Engineering Asssistant

More information

Motorola PC603R Microprocessor

Motorola PC603R Microprocessor Construction Analysis Motorola PC603R Microprocessor Report Number: SCA 9709-551 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780 Fax:

More information

Advanced CMOS Process Technology Part 3 Dr. Lynn Fuller

Advanced CMOS Process Technology Part 3 Dr. Lynn Fuller MICROELECTRONIC ENGINEERING ROCHESTER INSTITUTE OF TECHNOLOGY Part 3 Dr. Lynn Fuller Webpage: http://people.rit.edu/lffeee Electrical and Microelectronic Engineering Rochester Institute of Technology 82

More information

ME 141B: The MEMS Class Introduction to MEMS and MEMS Design. Sumita Pennathur UCSB

ME 141B: The MEMS Class Introduction to MEMS and MEMS Design. Sumita Pennathur UCSB ME 141B: The MEMS Class Introduction to MEMS and MEMS Design Sumita Pennathur UCSB Outline Class odds and ends Intro to Your Device Process Flow for Your Device Microfabrication Outline Suggested groups

More information

Thermal Evaporation. Theory

Thermal Evaporation. Theory Thermal Evaporation Theory 1. Introduction Procedures for depositing films are a very important set of processes since all of the layers above the surface of the wafer must be deposited. We can classify

More information

Advanced Gate Stack, Source/Drain, and Channel Engineering for Si-Based CMOS 6: New Materials, Processes, and Equipment

Advanced Gate Stack, Source/Drain, and Channel Engineering for Si-Based CMOS 6: New Materials, Processes, and Equipment Advanced Gate Stack, Source/Drain, and Channel Engineering for Si-Based CMOS 6: New Materials, Processes, and Equipment Editors: E. P. Gusev Qualcomm MEMS Technologies San Jose, California, USA D-L. Kwong

More information

Process steps for Field Emitter devices built on Silicon wafers And 3D Photovoltaics on Silicon wafers

Process steps for Field Emitter devices built on Silicon wafers And 3D Photovoltaics on Silicon wafers Process steps for Field Emitter devices built on Silicon wafers And 3D Photovoltaics on Silicon wafers David W. Stollberg, Ph.D., P.E. Research Engineer and Adjunct Faculty GTRI_B-1 Field Emitters GTRI_B-2

More information

Solar Energy Research Institute of Singapore (SERIS), National University of Singapore (NUS), Singapore

Solar Energy Research Institute of Singapore (SERIS), National University of Singapore (NUS), Singapore MODELLING AND CHARACTERIZATION OF BBr3 BORON DIFFUSION PROCESS FOR N-TYPE SI WAFER SOLAR CELLS LI Mengjie 1, 2, a, HOEX Bram 3, MA Fa-Jun 3, DEVAPPA SHETTY Kishan 1, ABERLE Armin G. 1, 2, SAMUDRA Ganesh

More information

2015 EE410-LOCOS 0.5µm Poly CMOS Process Run Card Lot ID:

2015 EE410-LOCOS 0.5µm Poly CMOS Process Run Card Lot ID: STEP 0.00 - PHOTOMASK #0- ZERO LEVEL MARKS Starting materials is n-type silicon (5-10 ohm-cm). Add four test wafers labeled T1-T4. T1 and T2 will travel with the device wafers and get all of the processing

More information

Ex-situ Ohmic Contacts to n-ingaas

Ex-situ Ohmic Contacts to n-ingaas High Doping Effects on In-situ and Ex-situ Ohmic Contacts to n-ingaas Ashish Baraskar*, Mark A. Wistey, Vibhor Jain, Uttam Singisetti, Greg Burek, Brian J. Thibeault, Arthur C. Gossard and Mark J. W. Rodwell

More information

Plasma-Enhanced Chemical Vapor Deposition

Plasma-Enhanced Chemical Vapor Deposition Plasma-Enhanced Chemical Vapor Deposition Steven Glenn July 8, 2009 Thin Films Lab 4 ABSTRACT The objective of this lab was to explore lab and the Applied Materials P5000 from a different point of view.

More information

Oxide Growth. 1. Introduction

Oxide Growth. 1. Introduction Oxide Growth 1. Introduction Development of high-quality silicon dioxide (SiO2) has helped to establish the dominance of silicon in the production of commercial integrated circuits. Among all the various

More information

Hitachi A 64Mbit (8Mb x 8) Dynamic RAM

Hitachi A 64Mbit (8Mb x 8) Dynamic RAM Construction Analysis Hitachi 5165805A 64Mbit (8Mb x 8) Dynamic RAM Report Number: SCA 9712-565 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone:

More information

ION-IMPLANTED PHOTORESIST STRIPPING USING SUPERCRITICAL CARBON DIOXIDE

ION-IMPLANTED PHOTORESIST STRIPPING USING SUPERCRITICAL CARBON DIOXIDE ION-IMPLANTED PHOTORESIST STRIPPING USING SUPERCRITICAL CARBON DIOXIDE K. Saga, H. Kuniyasu, and T. Hattori, M. B. Korzenski*, P.M. Visintin*, T. H. Baum* Sony Corporation Atsugi 243-8585 JAPAN Advanced

More information