Semiconductor Physics Course Final Presentation CMOS Fabrication by Özgür Çobanoğlu (Turin, 2006)

Size: px
Start display at page:

Download "Semiconductor Physics Course Final Presentation CMOS Fabrication by Özgür Çobanoğlu (Turin, 2006)"

Transcription

1 Semiconductor Physics Course Final Presentation CMOS Fabrication by Özgür Çobanoğlu (Turin, 2006) 1

2 Outline Sections and Contents of the Presentation What is MOS? How does MOS behave in low level? A useful device Fabrication Process Steps Diode, transistor etc. How to build an actual MOSFET? MOS capacitor under external bias Can we produce something useful out of it? The structure of simplest device Crystal growth, substrate formation Lithography (Photo resist, Exposure, Development, etching) Ion implantation, passivation, protective layer formation Example beasts we develop Read-out & communication chips for High Energy Physics (HEP) experiments General purpose processors 2

3 MOS Structure Metal, Oxide and Semiconductor Capacitor Structure Formation : Acceptor dep. Heat treatment Polysilicon dep. [0] np = ni 2 ni 2 npo NA p po N A n kt ln i q NA ND kt Fp= ln q ni Fp = In the p-silicon we have positively charged mobile holes, and negatively charged fixed acceptors. Ef goes down with the effect of impurities (e.g. Boron as acceptor) [8] 5 Ef Mass Action Law (1) Electron (2) & hole (3) concentrations Fermi potentials for p-type (4) & n-type (5) Intrinsic Fermi level 3

4 MOS Biasing The MOS Capacitor System under External Bias An electric field develops between the positive holes and the negative gate charge. Note that the gate and the substrate form a kind of parallel plate capacitor, with the oxide acting as the insulating layer inbetween them (Accumulation). The positive charge pushes the holes away from the region under the gate and uncovers some of the negative charged fixed acceptors. Now the electric field points the other way, and goes from the positive gate charge, terminating on the negative acceptor charge within the silicon. [8] Ef Ef 4

5 MOS Biasing (Cont'd) The MOS Capacitor System under External Bias If the bending increases, Ec the conduction band edge, and Ef the Fermi level start to get closer which means that n the electron concentration, should soon start to become significant. In the situation represented by the first figure, we say we are at the threshold, and the gate voltage Vg at this point is called the threshold voltage Vt. [8] Even though, we have increased Vg beyond the threshold voltage Vt, and more positive charge appeared on the gate, the depletion region no longer moves back into the substrate. Instead electrons start to appear under the gate region, and the additional electric field lines terminate on these new electrons, instead of on additional acceptors. We have created an inversion layer of electrons under the gate, and it is this layer of electrons which we can use to connect the two n-type regions in a MOS transistor.. 5

6 MOS Biasing Summary The MOS Field Effect Transistor - Structure [8] Accumulation occurs typically for negative voltages where the negative charge on the gate attracts holes from the substrate to the oxide-semiconductor interface. Depletion occurs for positive voltages; the positive charge on the gate pushes the mobile holes into the substrate, thereby depleting the semiconductor of the mobile carriers. The voltage separating the accumulation and depletion regime is referred to as the flat-band voltage. Inversion occurs at more positive voltages which are larger than the threshold voltage. In addition to the depletion layer charge, a negatively charged inversion layer forms at the oxide-semiconductor interface. 6

7 MOSFET The MOS Field Effect Transistor What is MOS? How does MOS behave in low level? A useful device Fabrication Process Steps Diode, transistor etc. How to build an actual MOSFET? MOS capacitor under external bias Can we produce something useful out of it? The structure of simplest device Crystal growth, substrate formation Lithography (Photo resist, Exposure, Development, etching) Ion implantation, passivation, protective layer formation Example beasts we develop Read-out & communication chips for High Energy Physics (HEP) experiments General purpose processors 7

8 MOSFET - Structure The MOS Field Effect Transistor - Structure [0] Principle : Control the current flowing through Drain-Source terminals by adjusting the electric field the Gate potential creates. As the Vgs increases, first the depletion region (Vgs<Vth) and then the inversion layer (Vgs>Vth) are formed. [8] L W [3] 8

9 MOSFET - Operation The MOS Field Effect Transistor - Operation [6]... V TH =V THN, THP N 2 F V SB 2 F I DS, TRI =± N / P C OX 1 [ W 1 V DS V GS V THN,THP V 2DS L 2 ] W 2 [6] [5] I DS, SAT =± 2 N / P C OX L V GS V THN, THP [3]... 9

10 Non-Idealities The MOSFET Channel Length Modulation Effect One of several short channel effects in CMOS scaling, Channel Length Modulation (CLM) is the effect of a pinch-off region forming before the drain under large drain bias. This shortens the channel region, and leaves a gap of un-inverted silicon between the end of the formed inversion layer. [3] Id expression is valid until the pinch-off point; the additional term comes due to the region between pinch-off point and the drain. ro T GCA is (Gradual Channel Approximation) Together with the effect of Channel Length Modulation [6] 1 W 2 I DS, SAT =± N / P C OX V GS V THN, THP 2 L 1 W 2 I DS, SAT =± N / P C OX V GS V THN, THP 1 V DS 2 L 10

11 Non-Idealities (Cont'd) The MOSFET Bulk Effect [6] V TH =V THN, THP N 2 F V SB 2 F 11

12 Down-Scaling Effects Small Geometry Effects Constant-Field Scaling Effect Tries to preserve the magnitude of internal electric fields; dimensions are scaled down and supply voltage is reduced accordingly. Constant Voltage Scaling Effect Dimensions are reduced and the supply voltages remain unchanged; doping concentrations are increased. Narrow-Channel Effects The most significant one is that the threshold voltage of such a device is larger than the calculated one. Short-Channel Effects the limitations imposed on electron drift characteristics in the channel modification of threshold voltage due to shortening channel length [0] Other Limitations Imposed by Small-Device Geometries Sub-threshold conduction (Drain Induced Barrier Lowering DIBL) Punch-through (Depletion regions of D & S merge, FET melts locally) Everything can NOT be scaled down arbitrarily like tox (pinholes on the oxide can short an active area to gate, oxide breakdown Hot carriers can be injected to gate oxide degrading the device characteristics, injection occurs in the vicinity of drain junction. Interconnect damage due to electro-migration Electrostatic discharge (ESD) Electrical over-stress (EOS) 12

13 Parasitic Devices Small Geometry Effects At least, two arbitrarily selected layer form a parasitic device Parasitic capacitance is inevitable. M1 M2 [6] Capacitance 13

14 CMOS Fabrication Actual Implementation What is MOS? How does MOS behave in low level? A useful device Fabrication Process Steps Diode, transistor etc. How to build an actual MOSFET? MOS capacitor under external bias Can we produce something useful out of it? The structure of simplest device Crystal growth, substrate formation Lithography (Photo resist, Exposure, Development, etching) Ion implantation, passivation, protective layer formation Example beasts we develop Read-out & communication chips for High Energy Physics (HEP) experiments General purpose processors 14

15 Process Cycle Actual Fabrication via Lithographic Layout Formation Processes The following section will deal with the CMOS fabrication technology which requires both n & p-channel transistors to be built on the same substrate.[0] The simplified process sequence for the fabrication of CMOS integrated circuits on a p- type silicon substrate is shown.. The lithographic sequence is repeated for each physical layer used to construct IC. Sequence is always the same: [2] Photoresist application Printing (exposure) Development Etching Finalize A grown ingot to be sliced into wafers.. [8] 15

16 MOS Layout Actual Fabrication via Lithographic Layout Formation Processes To accommodate both nmos and pmos devices, special regions must be created in which the semiconductor type is opposite to the substrate type. These regions are called wells or tubs. [0] A p-well is created in an n-type substrate or, alternatively, an n- well is created in a p-type substrate. In the simple n-well CMOS fabrication technology, the nmos transistor is created in the p-type substrate, and the pmos transistor is created in the n-well, which is built-in into the p-type substrate. [8] Metal FOX Active Area Polysilicon Substrate 16

17 One Lithography Cycle Actual Fabrication via Lithographic Layout Formation Processes Each processing step requires that certain areas are defined on chip by appropriate masks. Consequently, the integrated circuit may be viewed as a set of patterned layers of doped silicon, polysilicon, metal and insulating silicon dioxide. In general, a layer must be patterned before the next layer of material is applied on chip. The process used to transfer a pattern to a layer on the chip is called lithography. [0] [0] The sequence starts with the thermal oxidation of the silicon surface, by which an oxide layer of about 1 micrometer is created on the substrate, see (b). The entire oxide surface is covered with a layer of photoresist, which is a light-sensitive, acid-resistant organic polymer, initially insoluble in the developing solution (c). The photoresist material is exposed to ultraviolet (UV) light, the exposed areas become soluble so that they are no longer resistant to etching solvents (d). 17

18 One Lithography Cycle (Cont'ed) Actual Fabrication via Lithographic Layout Formation Processes After hardening the remaining photoresist covered area; a solvent removes (etching) the soluble parts of the applied photresist (e). During this process, oxide layer is also etched down till the substrate layer (f). After that, photoresist can be removed by another solvent (f) which does not attack the substrate, so that the silicon layer is left patterned as required. [0] Above is what we achieved during this 7-step process. 18

19 Summary Actual Fabrication via Lithographic Layout Formation Processes [8] Grow crystalline silicon (1); make a wafer (23); grow a silicon dioxide (oxide) layer in a furnace (4); apply liquid photoresist (resist) (5); mask exposure (6); a cross-section through a wafer showing the developed resist (7); etch the oxide layer (8); ion implantation (910); strip the resist (11); strip the oxide (12). Steps similar to 412 are repeated for each layer (typically 1220 times for a CMOS process). 19

20 Forming an nmos Transistor Actual Fabrication via Lithographic Layout Formation Processes [0] [7] Nitride defines the active areas FOX is developed Nitride is removed by a solvent Polysilicon is deposited 20

21 Forming an nmos Transistor (Cont'd) Actual Fabrication via Lithographic Layout Formation Processes Spacer & active field formation Dep. of SiO2 [0] Etching contact holes Metal dep. 21

22 Forming an Inverter - 1st Step Actual Fabrication via Lithographic Layout Formation Processes To be able to place nmos & pmos devices on the same substrate, so called N-Well process has been developed. [4] 22

23 Forming an Inverter - 2nd Step Actual Fabrication via Lithographic Layout Formation Processes [4] Defining active areas Stress-relief oxide Silicon Nitride n-well [2] p-type [7] 23

24 Forming an Inverter - 3rd Step Actual Fabrication via Lithographic Layout Formation Processes [4] 24

25 Forming an Inverter - 4th Step Actual Fabrication via Lithographic Layout Formation Processes [4] All the MOSFET gates are defined in a single step The polysilicon gate can be doped (n+) while is being deposited to lower its parasitic resistance (important in high speed fine line processes) Polysilicon mask Polysilicon gate n-well [2] p-type [7] 25

26 Forming an Inverter - 5th Step Actual Fabrication via Lithographic Layout Formation Processes [4] The process is self-aligned 26

27 Forming an Inverter - 6th Step Actual Fabrication via Lithographic Layout Formation Processes [4] Annealing [2] After the implants are completed a thermal annealing cycle is executed This allows the impurities to diffuse further into the bulk After thermal annealing, it is important to keep the remaining process steps at as low temperature as possible 27

28 Forming an Inverter - 7th Step Actual Fabrication via Lithographic Layout Formation Processes The surface of the IC is covered by a layer of CVD oxide [2] The oxide is deposited at low temperature (LTO) to avoid that underlying doped regions will undergo diffusive spreading [4] Contact cuts are defined by etching SiO2 down to the surface to be contacted These allow metal to contact diffusion and/or polysilicon regions Mask for contacts 28

29 Forming an Inverter - 8th Step Actual Fabrication via Lithographic Layout Formation Processes [4] Final form Metals may react with nearby materials, and may have to be encapsulated using nitrides (e.g. Si3N4 or TiN) to prevent unwanted reactions, or partial erosion in subsequent etching procedures. [2] [7] 29

30 Forming an Inverter - 9th Step Actual Fabrication via Lithographic Layout Formation Processes [4] 30

31 Forming an Inverter - Summary Actual Fabrication via Lithographic Layout Formation Processes [0] Create N-Well Define actives & FOX Polysilicon dep.2 S/D implantation SiO2 dep. & contact etch M1 dep. SiO2 dep. & via etch M2 deposition Final w/o oxide layers 31

32 Finalization Over glass and pad openings Over glass and pad openings [2] A protective layer is added over the surface: The protective layer consists of: A layer of SiO2 Followed by a layer of silicon nitride The SiN layer acts as a diffusion barrier against contaminants (passivation) Finally, contact cuts are etched, over metal 2, on the passivation to allow for wire bonding. 32

33 Design Rules Important Link in the Lithography Chain [6] Designer : [8] Designing the circuit in building block and schematic levels Drawing the "layer" patterns on a layout editor Silicon Foundry : Mask generation from the layer patterns in the design data base Printing: transfer the mask pattern to the wafer surface Process the wafer to physically pattern each layer of the IC [2] Reasons : Rules originating from the reliability of the materials and the system they form 33

34 Design Rules (Cont'd) Important Link in the Lithography Chain Interface between the circuit designer and process engineer [2] Guidelines for constructing process masks Unit dimension: minimum line width scalable design rules: lambda parameter Absolute dimensions: micron rules Rules constructed to ensure that design works even when small fab. errors (within some tolerance) occur A complete set includes set of layers intra-layer:relations between objects in the same layer inter-layer:relations between objects on different layers [0] 34

35 Design Rules (Cont'd) Important Link in the Lithography Chain [0] [8] 35

36 Design Rules (Cont'd) A Couple of Design Tabus Never put transistors in mixed directions (put all of them preferably vertical) Substrate is tilted to prevent channeling of dopant ions Shadowed areas would differ leading to matching problems; even to chip failure Never pass-over one of the two transistors with a wire whereas matching is important Capacitive transistor model changes leading to e.g. different speed characteristics Psychology of the devices must be identical Dummy elements must be used to create the same environment for every corresponding device; devices are NOT isolated perfectly Implant 36

37 Design Rules (Cont'd) A Couple of Design Tabus Never put long wires; switch between different layers via via s. Never connect a long M1 wire to a gate polysilicon (unless gate is connected to an active) Etching in the next step can load gate excessively; leading to oxide bread-down Put short M1 then a long M2; this would prevent gate loading during processing 37

38 What We Have State of Art eight metal levels in modern processes Up to Copper for metal levels 2 and higher Stacked contacts and vias Chemical Transceiver Chip Metal Polishing for technologies with several metal levels For analogue applications some processes offer: capacitors resistors bipolar transistors (BiCMOS) etc. Shallow Bit Slicetrench isolation [2] n+ and p+-doped polysilicon gates (low threshold) 10-Bits D/A Converter Core source-drain extensions LDD (hot-electron effects) Self-aligned silicide (spacers) Non-uniform channel doping (short-channel effects) [2] Tools for real simulations : Cadence (commercial, for real-world production) Elektrik (open source, schematic, layout, analog, digital) Qucs (open source, schematic, limited layout, analog, digital) 38

39 What We Have State of Art eight metal levels in modern processes Up to Copper for metal levels 2 and higher Stacked contacts and vias Chemical Transceiver Chip Metal Polishing for technologies with several metal levels For analogue applications some processes offer: capacitors resistors bipolar transistors (BiCMOS) Bit Slice Shallow trench isolation n+ and p+-doped polysilicon gates (low threshold) 10-Bits D/A Converter Core source-drain extensions LDD (hot-electron effects) Self-aligned silicide (spacers) Non-uniform channel doping (short-channel effects) [6] 39

40 Fabrication Details Alternative and/or Advanced Fabrication Steps What is MOS? The structure of simplest device Etching types chemical, dry (plasma How doeswet MOS behave in lowassisted), level? ion MOS capacitor under external bias Lithography types Optic, electron beam, x-ray, ion beam Can we produce something useful out of it? Diode, transistor etc. Deposition types Ion, CVD, How to build an PVD actual MOSFET? A useful device XXXresist details Positive, negative, polymers as electron resists Fabrication Process Steps Crystal growth, substrate formation Advanced Processes Lithography (Photo resist, Exposure, Development, etching) Twin-Tub(Well) Process Ion implantation, passivation,cmos protective layer formation Silicon on insulator (SOI) CMOS Process Example beasts we develop Read-out & communication chips for High Energy Physics (HEP) experiments General purpose processors 40

41 Photoresist Howto Photoresist normally comes in powder form, which is insensitive to light. It is reconstituted into liquid form by adding a solvent, typically alcohol. The wafer is mounted on a turntable, spinning slowly, and the photoresist is discharged into its center. Centrifugal force spreads the resist outward across the wafer. The thickness that remains on the wafer is a function of the rate of wafer spin and the viscosity of the photoresist. The thickness is monitored by light diffraction, which is used to adjust the spin rate to reach the correct PR thickness. Pha se Inter fer ence g iv es Pho tor esist Thick ness After the PR is applied, the wafer is heated (~160C) to evaporate the solvent, leaving a smooth solid coating. The wafer is heated to harden the patterned resist so that it will withstand immersion into acids. A typical hardening bake is ~300C. In rare cases, the photoresist is not adequate as a mask itself, and the patterns are processed to make a more robust mask, e.g. of thick SiO2 (for very high energy implants) or Si3N4 for solvent etches which also attack PR. [1] 41

42 Lithography Types Optic The name optical lithography comes from the early application where the exposing energy was visible light. While those wavelengths can still be used, the push to reduce the size of feature sizes has lead to the use of shorter wavelengths to increase resolution. Ultraviolet (UV) and deep ultraviolet (DUV) sources are now used. Such sources include excimer lasers which operate at wavelengths of 248 nm, 193 nm, and less. Visible wavelengths end in the red at about 400 nm. At these shorter wavelengths, particularly 193 nm, optical materials and even air absorb the energy very well and there are still many problems to be overcome when using this wavelength. 42

43 Lithography Types (Cont'd) X-Ray Collimated x-rays as the exposing energy Lower in resolution wavelength; better Allows micro structures with great height to be fabricated, relative to optical lithography Lithography process uses different materials (e.g. gold as absorber) Mask substrate is normally a low atomic number material such as diamond, beryllium, or polyimide, or a thin membrane of a higher atomic number material such as silicon or silicon carbide. The substrate is, again, any structural material which fits the particular application and the photoresist of choice is polymethyl methacrylate (PMMA) 43

44 Lithography Types (Cont'd) X-Ray (There are also ion & electron beam lithographies other than mentioned here) 44

45 Etching Howto anisotropic etch (ideal) Etching : Process of removing unprotected material Etching occurs in all directions Horizontal etching causes an under cut preferential etching can be used to minimize the undercut Etching techniques : Wet etching : uses chemicals to remove the unprotected materials Dry or plasma etching : uses ionized gases rendered chemically active by an rfgenerated plasma [1] resist layer 1 layer 2 isotropic etch undercut resist layer 1 [2] layer 2 preferential etch undercut resist layer 1 layer 2 45

46 Chemical Vapor Deposition Howto SiH4(gas) + O2(gas) -> SiO2(solid) + 2H2 (gas) [1] SiH4(gas) + H2(gas) +SiH2(gas) -> 2H2(gas) + PolySilicon (solid) [8] 46

47 Twin-Tub CMOS Process Howto For inexpensive and low-performance chips, one may use a heavily doped substrate and omit one well. The substrate should be doped to about 1016/cm3, with a resistivity of about 1 Ω-cm. This allows simpler construction, with good Ground Potential distribution, but the devices are not optimal and there is a chance of latch-up if the voltages are pushed hard. For high-performance chips, one uses a low doped substrate, 1015/cm3, 10 Ω-cm, and then constructs Two Wells at optimum doping levels (called Tubs in the diagram). Since the substrate is lightly doped, there is less chance for latch-up because of the high resistivity. [1] [8] 47

48 Twin-Tub CMOS Process (Cont'd) Howto This technology provides the basis for separate optimization of the nmos and pmos transistors, thus making it possible for threshold voltage, body effect and the channel transconductance of both types of transistors to be tuned independently. Generally, the starting material is a n+ or p+ substrate, with a lightly doped epitaxial layer (~1015/cm3) on top. This epitaxial layer provides the actual substrate on which the n-well and the p-well are formed. Since two independent doping steps are performed for the creation of the well regions, the dopant concentrations can be carefully optimized to produce the desired device characteristics. In the conventional n-well CMOS process, the doping of the well region is typically about one order of magnitude higher than the substrate, which, among other effects, results in unbalanced drain parasitics (possible latch-up). The twin-tub process, below, avoids this problem. [8] 48

49 Silicon On Inculator CMOS Process Howto Rather than using silicon as the substrate material, an insulating substrate will improve process characteristics such as speed and latch-up susceptibility. [1] The SOI CMOS technology allows the creation of independent, completely isolated nmos and pmos transistors virtually side-by-side on an insulating substrate. The main advantages of this technology are the higher integration density (because of the absence of well regions) complete avoidance of the latch-up problem lower parasitic capacitances compared to the conventional n-well or twin-tub CMOS processes. A cross-section of nmos and pmos devices in created using SOI process is shown below. 49

50 References Throughout the presentation [0] CMOS Digital Integrated Circuit Design - Analysis and Design by S.M. Kang and Yusuf Leblebici [1] S.M. Sze, VLSI Technology, New York, NY: McGraw-Hill, [2] Paulo Moreira, ELEC-2002_11Apr02_3.ppt [3] Design of Analog CMOS Integrated Circuits by Behzad Razavi, McGrawHill Higher Education; ISBN: [4] CSE/EE 462: VLSI Design Fall 2004, The CMOS Fabrication Process and Design Rules by Jay Brockman [5] CMOS Circuit Design, Layout, and Simulation by R. Jacob Baker, Harry W. Li, David E. Boyce, IEEE Press Series on Microelectronic Systems; IEEE; ISBN: [6] Web resources, [7] Bell-Labs, web resources [8] Anonymous web resources 50

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS Contents: VI Sem ECE 06EC63: Analog and Mixed Mode VLSI Design PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS 1. Introduction 2. CMOS Fabrication 3. Simplified View of Fabrication Process 3.1 Alternative

More information

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) contents UNIT I INTRODUCTION: Introduction to IC Technology MOS, PMOS, NMOS, CMOS & BiCMOS technologies. BASIC ELECTRICAL PROPERTIES : Basic Electrical

More information

Chapter 3 CMOS processing technology

Chapter 3 CMOS processing technology Chapter 3 CMOS processing technology (How to make a CMOS?) Si + impurity acceptors(p-type) donors (n-type) p-type + n-type => pn junction (I-V) 3.1.1 (Wafer) Wafer = A disk of silicon (0.25 mm - 1 mm thick),

More information

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI CMOS Technology Flow varies with process types & company N-Well CMOS Twin-Well CMOS STI Start with substrate selection Type: n or p Doping level, resistivity Orientation, 100, or 101, etc Other parameters

More information

Department of Electrical Engineering. Jungli, Taiwan

Department of Electrical Engineering. Jungli, Taiwan Chapter 3 Fabrication of CMOS Integrated Circuits Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Background Outline The CMOS Process Flow Design Rules Latchup

More information

VLSI Digital Systems Design

VLSI Digital Systems Design VLSI Digital Systems Design CMOS Processing cmpe222_03process_ppt.ppt 1 Si Purification Chemical purification of Si Zone refined Induction furnace Si ingot melted in localized zone Molten zone moved from

More information

Fabrication and Layout

Fabrication and Layout ECEN454 Digital Integrated Circuit Design Fabrication and Layout ECEN 454 3.1 A Glimpse at MOS Device Polysilicon Aluminum ECEN 475 4.2 1 Material Classification Insulators Glass, diamond, silicon oxide

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction Integrated circuits: many transistors on one chip. Very Large Scale Integration (VLSI): bucketloads! Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation. Figure 2.1 (p. 58) Basic fabrication steps in the silicon planar process: (a) oxide formation, (b) selective oxide removal, (c) deposition of dopant atoms on wafer, (d) diffusion of dopant atoms into exposed

More information

VLSI. Lecture 1. Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University. Based on slides of David Money Harris

VLSI. Lecture 1. Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University. Based on slides of David Money Harris VLSI Lecture 1 Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University Based on slides of David Money Harris Goals of This Course Learn the principles of VLSI design Learn to design

More information

Lecture 1A: Manufacturing& Layout

Lecture 1A: Manufacturing& Layout Introduction to CMOS VLSI Design Lecture 1A: Manufacturing& Layout David Harris Harvey Mudd College Spring 2004 Steven Levitan Fall 2008 1 The Manufacturing Process For a great tour through the IC manufacturing

More information

EE 330 Lecture 9. IC Fabrication Technology Part 2

EE 330 Lecture 9. IC Fabrication Technology Part 2 EE 330 Lecture 9 IC Fabrication Technology Part 2 Quiz 8 A 2m silicon crystal is cut into wafers using a wire saw. If the wire diameter is 220um and the wafer thickness is 350um, how many wafers will this

More information

FABRICATION of MOSFETs

FABRICATION of MOSFETs FABRICATION of MOSFETs CMOS fabrication sequence -p-type silicon substrate wafer -creation of n-well regions for pmos transistors, -impurity implantation into the substrate. -thick oxide is grown in the

More information

Introduction to CMOS VLSI Design. Layout, Fabrication, and Elementary Logic Design

Introduction to CMOS VLSI Design. Layout, Fabrication, and Elementary Logic Design Introduction to CMOS VLSI Design Layout, Fabrication, and Elementary Logic Design CMOS Fabrication CMOS transistors are fabricated on silicon wafer Lithography process similar to printing press On each

More information

CHAPTER - 4 CMOS PROCESSING TECHNOLOGY

CHAPTER - 4 CMOS PROCESSING TECHNOLOGY CHAPTER - 4 CMOS PROCESSING TECHNOLOGY Samir kamal Spring 2018 4.1 CHAPTER OBJECTIVES 1. Introduce the CMOS designer to the technology that is responsible for the semiconductor devices that might be designed

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time IC Fabrication Technology Crystal Preparation

More information

Chapter 2 Manufacturing Process

Chapter 2 Manufacturing Process Digital Integrated Circuits A Design Perspective Chapter 2 Manufacturing Process 1 CMOS Process 2 CMOS Process (n-well) Both NMOS and PMOS must be built in the same silicon material. PMOS in n-well NMOS

More information

ECE520 VLSI Design. Lecture 7: CMOS Manufacturing Process. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 7: CMOS Manufacturing Process. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 7: CMOS Manufacturing Process Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Review of Last

More information

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1 Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1 LECTURE 030 INTEGRATED CIRCUIT TECHNOLOGY - I (References [7,8]) Objective The objective of this presentation is: 1.) Illustrate integrated

More information

EE 330 Lecture 8. IC Fabrication Technology Part II. - Oxidation - Epitaxy - Polysilicon - Interconnects

EE 330 Lecture 8. IC Fabrication Technology Part II. - Oxidation - Epitaxy - Polysilicon - Interconnects EE 330 Lecture 8 IC Fabrication Technology Part II - Oxidation - Epitaxy - Polysilicon - Interconnects Review from Last Time MOS Transistor Bulk Source Gate Drain p-channel MOSFET Lightly-doped n-type

More information

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag FABRICATION OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Overview of CMOS Fabrication Processes The CMOS Fabrication Process Flow Design Rules EE 432 VLSI Modeling and Design 2 CMOS Fabrication

More information

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules 2. CMOS Fabrication, Layout, Design Rules Last module: Introduction to the course How a transistor works CMOS transistors This module: CMOS Fabrication Design Rules CMOS Fabrication CMOS transistors are

More information

CMOS VLSI Design. Introduction. All materials are from the textbook Weste and Harris, 3 rd Edition CMOS VLSI DESIGN. Introduction

CMOS VLSI Design. Introduction. All materials are from the textbook Weste and Harris, 3 rd Edition CMOS VLSI DESIGN. Introduction CMOS VLSI Design Introduction ll materials are from the textbook Weste and Harris, 3 rd Edition CMOS VLSI DESIGN Introduction Chapter previews the entire field, subsequent chapters elaborate on specific

More information

9/4/2008 GMU, ECE 680 Physical VLSI Design

9/4/2008 GMU, ECE 680 Physical VLSI Design ECE680: Physical VLSI Design Chapter II CMOS Manufacturing Process 1 Dual-Well Trench-Isolated CMOS Process gate-oxide TiSi 2 AlCu Tungsten SiO 2 p-well poly n-well SiO 2 n+ p-epi p+ p+ 2 Schematic Layout

More information

CS/ECE 5710/6710. N-type Transistor. N-type from the top. Diffusion Mask. Polysilicon Mask. CMOS Processing

CS/ECE 5710/6710. N-type Transistor. N-type from the top. Diffusion Mask. Polysilicon Mask. CMOS Processing CS/ECE 5710/6710 CMOS Processing Addison-Wesley N-type Transistor D G +Vgs + Vds S N-type from the top i electrons - Diffusion Mask Mask for just the diffused regions Top view shows patterns that make

More information

Chapter 2 MOS Fabrication Technology

Chapter 2 MOS Fabrication Technology Chapter 2 MOS Fabrication Technology Abstract This chapter is concerned with the fabrication of metal oxide semiconductor (MOS) technology. Various processes such as wafer fabrication, oxidation, mask

More information

Lecture 22: Integrated circuit fabrication

Lecture 22: Integrated circuit fabrication Lecture 22: Integrated circuit fabrication Contents 1 Introduction 1 2 Layering 4 3 Patterning 7 4 Doping 8 4.1 Thermal diffusion......................... 10 4.2 Ion implantation.........................

More information

Process Integration. MEMS Release Techniques Sacrificial Layer Removal Substrate Undercut

Process Integration. MEMS Release Techniques Sacrificial Layer Removal Substrate Undercut Process Integration Self-aligned Techniques LOCOS- self-aligned channel stop Self-aligned Source/Drain Lightly Doped Drain (LDD) Self-aligned silicide (SALICIDE) Self-aligned oxide gap MEMS Release Techniques

More information

CMOS FABRICATION. n WELL PROCESS

CMOS FABRICATION. n WELL PROCESS CMOS FABRICATION n WELL PROCESS Step 1: Si Substrate Start with p- type substrate p substrate Step 2: Oxidation Exposing to high-purity oxygen and hydrogen at approx. 1000 o C in oxidation furnace SiO

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time Etching Dry etch (anisotropic) SiO

More information

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras Lecture - 36 MOSFET I Metal gate vs self-aligned poly gate So far, we have discussed about

More information

CMOS Fabrication. Dr. Bassam Jamil. Adopted from slides of the textbook

CMOS Fabrication. Dr. Bassam Jamil. Adopted from slides of the textbook CMOS Fabrication Dr. Bassam Jamil Adopted from slides of the textbook CMOS Fabrication CMOS transistors are fabricated on silicon wafer Lithography process similar to printing press On each step, different

More information

Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Lecture No. # 02 MOS Transistors - I Hello and welcome to today

More information

Czochralski Crystal Growth

Czochralski Crystal Growth Czochralski Crystal Growth Crystal Pulling Crystal Ingots Shaping and Polishing 300 mm wafer 1 2 Advantage of larger diameter wafers Wafer area larger Chip area larger 3 4 Large-Diameter Wafer Handling

More information

CMOS Manufacturing process. Design rule set

CMOS Manufacturing process. Design rule set CMOS Manufacturing process Circuit design Set of optical masks Fabrication process Circuit designer Design rule set Process engineer All material: Chap. 2 of J. Rabaey, A. Chandrakasan, B. Nikolic, Digital

More information

Process Integration. NMOS Generic NMOS Process Flow. CMOS - The MOSIS Process Flow

Process Integration. NMOS Generic NMOS Process Flow. CMOS - The MOSIS Process Flow Process Integration Self-aligned Techniques LOCOS- self-aligned channel stop Self-aligned Source/Drain Lightly Doped Drain (LDD) Self-aligned silicide (SALICIDE) Self-aligned oxide gap MEMS Release Techniques

More information

EE 434 Lecture 9. IC Fabrication Technology

EE 434 Lecture 9. IC Fabrication Technology EE 434 Lecture 9 IC Fabrication Technology Quiz 7 The layout of a film resistor with electrodes A and B is shown. If the sheet resistance of the film is 40 /, determine the resistance between nodes A and

More information

EEC 118 Lecture #5: MOS Fabrication. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

EEC 118 Lecture #5: MOS Fabrication. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation EEC 118 Lecture #5: MOS Fabrication Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation Announcements Lab 3 this week, report due next week HW 3 due this Friday at 4

More information

VLSI Design and Simulation

VLSI Design and Simulation VLSI Design and Simulation CMOS Processing Technology Topics CMOS Processing Technology Semiconductor Processing How do we make a transistor? Fabrication Process Wafer Processing Silicon single crystal

More information

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing ELEC 3908, Physical Electronics, Lecture 4 Basic Integrated Circuit Processing Lecture Outline Details of the physical structure of devices will be very important in developing models for electrical behavior

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

Manufacturing Process

Manufacturing Process Manufacturing Process 1 CMOS Process 2 A Modern CMOS Process gate-oxide TiSi 2 AlCu Tungsten SiO 2 n+ p-well p-epi poly n-well p+ SiO 2 p+ Dual-Well Trench-Isolated CMOS Process 3 Single-crystal ingot

More information

Lect. 2: Basics of Si Technology

Lect. 2: Basics of Si Technology Unit processes Thin Film Deposition Etching Ion Implantation Photolithography Chemical Mechanical Polishing 1. Thin Film Deposition Layer of materials ranging from fractions of nanometer to several micro-meters

More information

Process Flow in Cross Sections

Process Flow in Cross Sections Process Flow in Cross Sections Process (simplified) 0. Clean wafer in nasty acids (HF, HNO 3, H 2 SO 4,...) --> wear gloves! 1. Grow 500 nm of SiO 2 (by putting the wafer in a furnace with O 2 2. Coat

More information

Review of CMOS Processing Technology

Review of CMOS Processing Technology - Scaling and Integration Moore s Law Unit processes Thin Film Deposition Etching Ion Implantation Photolithography Chemical Mechanical Polishing 1. Thin Film Deposition Layer of materials ranging from

More information

Fairchild Semiconductor Application Note June 1983 Revised March 2003

Fairchild Semiconductor Application Note June 1983 Revised March 2003 Fairchild Semiconductor Application Note June 1983 Revised March 2003 High-Speed CMOS (MM74HC) Processing The MM74HC logic family achieves its high speed by utilizing microcmos Technology. This is a 3.5

More information

Isolation Technology. Dr. Lynn Fuller

Isolation Technology. Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Isolation Technology Dr. Lynn Fuller Motorola Professor 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Fax (585) 475-5041

More information

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica Microelettronica Planar Technology for Silicon Integrated Circuits Fabrication 26/02/2017 A. Neviani - Microelettronica Introduction Simplified crosssection of an nmosfet and a pmosfet Simplified crosssection

More information

Microfabrication of Integrated Circuits

Microfabrication of Integrated Circuits Microfabrication of Integrated Circuits OUTLINE History Basic Processes Implant; Oxidation; Photolithography; Masks Layout and Process Flow Device Cross Section Evolution Lecture 38, 12/05/05 Reading This

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

Complexity of IC Metallization. Early 21 st Century IC Technology

Complexity of IC Metallization. Early 21 st Century IC Technology EECS 42 Introduction to Digital Electronics Lecture # 25 Microfabrication Handout of This Lecture. Today: how are Integrated Circuits made? Silicon wafers Oxide formation by growth or deposition Other

More information

Fabrication and Layout

Fabrication and Layout Fabrication and Layout Kenneth Yun UC San Diego Adapted from EE271 notes, Stanford University Overview Semiconductor properties How chips are made Design rules for layout Reading Fabrication: W&E 3.1,

More information

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width Microelectronics Introduction to the IC technology M.Rencz 11 September, 2002 9/16/02 1/37 Integrated circuits Development is controlled by the roadmaps. Self-fulfilling predictions for the tendencies

More information

Manufacturing Process

Manufacturing Process Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Manufacturing Process July 30, 2002 1 CMOS Process 2 A Modern CMOS Process gate-oxide TiSi 2 AlCu Tungsten

More information

This Appendix discusses the main IC fabrication processes.

This Appendix discusses the main IC fabrication processes. IC Fabrication B B.1 Introduction This Appendix discusses the main IC fabrication processes. B.2 NMOS fabrication NMOS transistors are formed in a p-type substrate. The NMOS fabrication process requires

More information

EE 560 FABRICATION OF MOS CIRCUITS. Kenneth R. Laker, University of Pennsylvania

EE 560 FABRICATION OF MOS CIRCUITS. Kenneth R. Laker, University of Pennsylvania 1 EE 560 FABRICATION OF MOS CIRCUITS 2 CMOS CHIP MANUFACTRING STEPS Substrate Wafer Wafer Fabrication (diffusion, oxidation, photomasking, ion implantation, thin film deposition, etc.) Finished Wafer Wafer

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 9/13/2007 Fabrication Technology Lecture 1 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world)

More information

Silicon Wafer Processing PAKAGING AND TEST

Silicon Wafer Processing PAKAGING AND TEST Silicon Wafer Processing PAKAGING AND TEST Parametrical test using test structures regularly distributed in the wafer Wafer die test marking defective dies dies separation die fixing (not marked as defective)

More information

We are moving to 155 Donner Lab From Thursday, Feb 2 We will be able to accommodate everyone!

We are moving to 155 Donner Lab From Thursday, Feb 2 We will be able to accommodate everyone! -Spring 006 Digital Integrated Circuits Lecture 4 CMOS Manufacturing Process Design Rules EECS141 1 Good News! We are moving to 155 Donner Lab From Thursday, Feb We will be able to accommodate everyone!

More information

UNIT 4. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 4. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 4 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun Syllabus METALLIZATION: Applications and choices, physical vapor deposition, patterning, problem areas.

More information

EE 143 FINAL EXAM NAME C. Nguyen May 10, Signature:

EE 143 FINAL EXAM NAME C. Nguyen May 10, Signature: INSTRUCTIONS Read all of the instructions and all of the questions before beginning the exam. There are 5 problems on this Final Exam, totaling 143 points. The tentative credit for each part is given to

More information

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook:

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook: HOMEWORK 4 and 5 March 15, 2009 Homework is due on Monday March 30, 2009 in Class. Chapter 7 Answer the following questions from the Course Textbook: 7.2, 7.3, 7.4, 7.5, 7.6*, 7.7, 7.9*, 7.10*, 7.16, 7.17*,

More information

Make sure the exam paper has 9 pages total (including cover page)

Make sure the exam paper has 9 pages total (including cover page) UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Fall 2010 EE143 Midterm Exam #2 Family Name First name SID Signature Solution Make sure the exam

More information

EE 5344 Introduction to MEMS. CHAPTER 3 Conventional Si Processing

EE 5344 Introduction to MEMS. CHAPTER 3 Conventional Si Processing 3. Conventional licon Processing Micromachining, Microfabrication. EE 5344 Introduction to MEMS CHAPTER 3 Conventional Processing Why silicon? Abundant, cheap, easy to process. licon planar Integrated

More information

ECE 659. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, Digital EE141 Integrated Circuits 2nd Manufacturing.

ECE 659. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, Digital EE141 Integrated Circuits 2nd Manufacturing. Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Manufacturing Process July 0, 00 1 CMOS Process 1 A Modern CMOS Process gate-oxide TiSi AlCu Tungsten

More information

Chapter 4 : ULSI Process Integration (0.18 m CMOS Process)

Chapter 4 : ULSI Process Integration (0.18 m CMOS Process) Chapter : ULSI Process Integration (0.8 m CMOS Process) Reference. Semiconductor Manufacturing Technology : Michael Quirk and Julian Serda (00). - (00). Semiconductor Physics and Devices- Basic Principles(/e)

More information

ECE321 Electronics I

ECE321 Electronics I ECE321 Electronics I Lecture 19: CMOS Fabrication Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Tuesday 2:00-3:00PM or by appointment E-mail: payman@ece.unm.edu Slide: 1 Miller Effect Interconnect

More information

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther EECS 40 Spring 2003 Lecture 19 Microfabrication 4/1/03 Prof. ndy Neureuther How are Integrated Circuits made? Silicon wafers Oxide formation by growth or deposition Other films Pattern transfer by lithography

More information

Complementary Metal Oxide Semiconductor (CMOS)

Complementary Metal Oxide Semiconductor (CMOS) Technische Universität Graz Institute of Solid State Physics Complementary Metal Oxide Semiconductor (CMOS) Franssila: Chapters 26,28 Technische Universität Graz Institute of Solid State Physics Complementary

More information

CMOS Processing Technology

CMOS Processing Technology CHAPTER 2 CMOS Processing Technology Outline 2 1. CMOS Technologies 2. Layout Design Rules 3. CMOS Process Enhancements 4. Technology-related CAD Issues 5. Manufacturing Issues CMOS Technologies 3 n-well

More information

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras Lecture - 33 Problems in LOCOS + Trench Isolation and Selective Epitaxy So, we are discussing

More information

CMOS Processing Technology

CMOS Processing Technology CHAPTER 2 CMOS Processing Technology Outline 2 1. CMOS Technologies 2. Layout Design Rules 3. CMOS Process Enhancements 4. Technology-related CAD Issues 5. Manufacturing Issues CMOS Technologies 3 n-well

More information

Fabrication Process. Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation CONCORDIA VLSI DESIGN LAB

Fabrication Process. Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation CONCORDIA VLSI DESIGN LAB Fabrication Process Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation 1 Fabrication- CMOS Process Starting Material Preparation 1. Produce Metallurgical Grade Silicon

More information

EE 330 Lecture 8. IC Fabrication Technology Part II. - Masking - Photolithography - Deposition - Etching - Diffusion

EE 330 Lecture 8. IC Fabrication Technology Part II. - Masking - Photolithography - Deposition - Etching - Diffusion EE 330 Lecture 8 IC Fabrication Technology Part II?? - Masking - Photolithography - Deposition - Etching - Diffusion Review from Last Time Technology Files Provide Information About Process Process Flow

More information

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University 2014 Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University Page1 Syllabus UNIT 1 Introduction to VLSI Technology: Classification of ICs, Scale of integration,

More information

Fabrication Technology

Fabrication Technology Fabrication Technology By B.G.Balagangadhar Department of Electronics and Communication Ghousia College of Engineering, Ramanagaram 1 OUTLINE Introduction Why Silicon The purity of Silicon Czochralski

More information

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley Microstructure of Electronic Materials Amorphous materials Single-Crystal Material 1 The Si Atom The Si Crystal diamond structure High-performance semiconductor devices require defect-free crystals 2 Crystallographic

More information

Lecture 2: CMOS Fabrication Mark McDermott Electrical and Computer Engineering The University of Texas at Austin

Lecture 2: CMOS Fabrication Mark McDermott Electrical and Computer Engineering The University of Texas at Austin Lecture 2: CMOS Fabrication Mark McDermott Electrical and Computer Engineering The University of Texas at Austin Agenda Last module: Introduction to the course How a transistor works CMOS transistors This

More information

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS AND FABRICATION ENGINEERING ATTHE MICRO- NANOSCALE Fourth Edition STEPHEN A. CAMPBELL University of Minnesota New York Oxford OXFORD UNIVERSITY PRESS CONTENTS Preface xiii prrt i OVERVIEW AND MATERIALS

More information

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process Chapter 3 A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process 3.1 Introduction Low-temperature poly-si (LTPS) TFTs

More information

The Physical Structure (NMOS)

The Physical Structure (NMOS) The Physical Structure (NMOS) Al SiO2 Field Oxide Gate oxide S n+ Polysilicon Gate Al SiO2 SiO2 D n+ L channel P Substrate Field Oxide contact Metal (S) n+ (G) L W n+ (D) Poly 1 3D Perspective 2 3 Fabrication

More information

VLSI Technology. By: Ajay Kumar Gautam

VLSI Technology. By: Ajay Kumar Gautam By: Ajay Kumar Gautam Introduction to VLSI Technology, Crystal Growth, Oxidation, Epitaxial Process, Diffusion Process, Ion Implantation, Lithography, Etching, Metallization, VLSI Process Integration,

More information

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in Chapter 5 PROCESSING OF DEVICES A discussion of crystal growth, lithography, etching, doping, and device structures is presented in the following overview gures. SEMICONDUCTOR DEVICE PROCESSING: AN OVERVIEW

More information

MOS Front-End. Field effect transistor

MOS Front-End. Field effect transistor MOS Front-End Back-end Transistor Contact Front-end p-well STI n-well Front-end-of-line includes substrate, isolation, wells, transistor, silicide Field effect transistor MOSFET: Metal-Oxide-Semiconductor

More information

VLSI Systems and Computer Architecture Lab

VLSI Systems and Computer Architecture Lab ΚΥΚΛΩΜΑΤΑ VLSI Πανεπιστήμιο Ιωαννίνων CMOS Technology Τμήμα Μηχανικών Η/Υ και Πληροφορικής 1 From the book: An Introduction ti to VLSI Process By: W. Maly ΚΥΚΛΩΜΑΤΑ VLSI Διάρθρωση 1. N well CMOS 2. Active

More information

Semiconductor Device Fabrication

Semiconductor Device Fabrication 5 May 2003 Review Homework 6 Semiconductor Device Fabrication William Shockley, 1945 The network before the internet Bell Labs established a group to develop a semiconductor replacement for the vacuum

More information

Lecture #18 Fabrication OUTLINE

Lecture #18 Fabrication OUTLINE Transistors on a Chip Lecture #18 Fabrication OUTLINE IC Fabrication Technology Introduction the task at hand Doping Oxidation Thin-film deposition Lithography Etch Lithography trends Plasma processing

More information

TOWARD MEMS!Instructor: Riadh W. Y. Habash

TOWARD MEMS!Instructor: Riadh W. Y. Habash TOWARD MEMS!Instructor: Riadh W. Y. Habash Students are presented with aspects of general production and manufacturing of integrated circuit (IC) products to enable them to better liaise with and participate

More information

EE6303 LINEAR INTEGRATED CIRCUITS AND APPLICATIONS 2 MARK QUESTIONS WITH ANSWERS UNIT I IC FABRICATION

EE6303 LINEAR INTEGRATED CIRCUITS AND APPLICATIONS 2 MARK QUESTIONS WITH ANSWERS UNIT I IC FABRICATION SRI VENKATESWARA COLLEGE OF ENGINEERING AND TECHNOLOGY TIRUPACHUR DEPARTMENT OFELECTRICAL AND ELECTRONICS ENGINEERING EE6303 LINEAR INTEGRATED CIRCUITS AND APPLICATIONS 1. Define an Integrated circuit.

More information

Complementary Metal-Oxide-Semiconductor Very Large-Scale Integrated Circuit Design

Complementary Metal-Oxide-Semiconductor Very Large-Scale Integrated Circuit Design Complementary Metal-Oxide-Semiconductor Very Large-Scale Integrated Circuit Design Bradley A. Minch Mixed Analog-Digital VLSI Circuits and Systems Lab Cornell University Ithaca, NY 14853 5401 minch@ece.cornell.edu

More information

MATTHEW A. WICKHAM 5th Year Microelectronic Engineering Student Rochester Institute of Technology ABSTRACT

MATTHEW A. WICKHAM 5th Year Microelectronic Engineering Student Rochester Institute of Technology ABSTRACT ION IMPLANTATION TO ADJUST NMOS THRESHOLD VOLTAGES MATTHEW A. WICKHAM 5th Year Microelectronic Engineering Student Rochester Institute of Technology INTRODUCTION ABSTRACT NMOS processes require a variety

More information

Manufacturing Process

Manufacturing Process CMOS Manufacturing Process CMOS Process 1 A Modern CMOS Process gate-oxide TiSi AlCu Tungsten SiO n+ p-well p-epi poly n-well p+ SiO p+ Dual-Well Trench-Isolated CMOS Process Circuit Under Design V DD

More information

PROCESSING OF INTEGRATED CIRCUITS

PROCESSING OF INTEGRATED CIRCUITS PROCESSING OF INTEGRATED CIRCUITS Overview of IC Processing (Part I) Silicon Processing Lithography Layer Processes Use in IC Fabrication (Part II) Integrating the Fabrication Steps IC Packaging (Part

More information

CMOS Manufacturing Process

CMOS Manufacturing Process CMOS Manufacturing Process CMOS Process A Modern CMOS Process gate-oxide TiSi 2 AlCu Tungsten SiO 2 n+ p-well p-epi poly n-well p+ SiO 2 p+ Dual-Well Trench-Isolated CMOS Process Circuit Under Design V

More information

ASIC Physical Design CMOS Processes

ASIC Physical Design CMOS Processes ASIC Physical Design CMOS Processes Smith Text: Chapters 2 & 3 Weste CMOS VLSI Design Global Foundries: BiCMOS_8HP8XP_Training.pdf BiCMOS_8HP_Design_Manual.pdf Physical design process overview CMOS transistor

More information

IC Fabrication Technology Part III Devices in Semiconductor Processes

IC Fabrication Technology Part III Devices in Semiconductor Processes EE 330 Lecture 10 IC Fabrication Technology Part III Metalization and Interconnects Parasitic Capacitances Back-end Processes Devices in Semiconductor Processes Resistors Diodes Review from Last Lecture

More information

IC/MEMS Fabrication - Outline. Fabrication

IC/MEMS Fabrication - Outline. Fabrication IC/MEMS Fabrication - Outline Fabrication overview Materials Wafer fabrication The Cycle: Deposition Lithography Etching Fabrication IC Fabrication Deposition Spin Casting PVD physical vapor deposition

More information