There are basically two approaches for bulk micromachining of. silicon, wet and dry. Wet bulk micromachining is usually carried out

Size: px
Start display at page:

Download "There are basically two approaches for bulk micromachining of. silicon, wet and dry. Wet bulk micromachining is usually carried out"

Transcription

1 57 Chapter 3 Fabrication of Accelerometer 3.1 Introduction There are basically two approaches for bulk micromachining of silicon, wet and dry. Wet bulk micromachining is usually carried out using anisotropic etchants like KOH (Potassium Hydroxide), TMAH (Tetra Methyl Ammonium Hydroxide) and EDP (Ethylene Diamine Pyrocatecol). Dry micromachining of silicon is done using Flourine based plasma chemistry. For the fabrication of accelerometer, the wet bulk micromachining approach is selected because of two major reasons (1) proof-mass and beams are having different thicknesses (2) beams need to be centralized w.r.t the proof-mass. Another critical process developed here is controlled wet oxidation and precisely controlled patterning of silicon dioxide using BHF (Buffered Hydro Fluoric acid). Silicon dioxide is used as a mask for etching in KOH. 3.2 Wet bulk micromachining Anisotropic chemical wet etching is a key technology in fabricating Micro Electro Mechanical Systems (MEMS). A substantial amount of research has been conducted to understand the mechanism and

2 58 eventually control the etched shape. Seidel et al. [40] Seidel [41] and Glembocki et al. [42] and Palik et al. [43] modeled the etching process and investigated the etching properties under a variety of KOH etching conditions Theory fig 3.1. Single-crystal silicon has a diamond lattice structure as shown in Fig 3.1 Silicon crystal structure Each silicon atom has four covalent bonds. Each bond connects a different pair of atoms. Silicon, with its four covalent bonds, coordinates itself tetrahedrally, and these tetrahedrons make up the diamond-cubic structure. This structure can also be represented as two interpenetrating Face-Centered Cubic (FCC) lattices, one displaced w.r.t the other. The lattice parameter a for Silicon is A and Silicon s diamond-cubic lattice has a packing density of 34%, compared to 74% for a regular FCC lattice. The {111} planes present the highest packing

3 59 density and the atoms are oriented such that three bonds are below the plane. When an atom is located on a surface, the bond belonging to the atom loses a neighboring atom. It is known as a dangling bond. The dangling bonds easily react with the etching agent. Though, in many cases, the dangling bonds do not remain free-ended, that is, the surface bonds are reconstructed by combining with each other in high vacuum, or the bonds are terminated with hydrogen atom in water, those bonds on the surface are still a source of surface reactions, i.e., etching. When the number of dangling bonds on three differently oriented surfaces, (100), (110), and (111) are compared, it is apparent that the (111) surface has the smallest number of bonds. There is only one dangling bond per surface atom for (111), whereas there are two for (100), and one dangling bond plus two surface bonds for (110). This is a conventional explanation for why (111) is stable against etching. Experimentally it is found that in pure KOH solutions, {110} planes exhibit highest etching rate. According Seidal et al, [10] the back bonds and the energy levels of the associated surface states is not necessarily the same for {110} and {111} planes, as that energy will also be influenced by the effect of the orientation of these bonds. Another argument in favor of high etching rates of {110} planes is the easier penetrability of {110} surfaces for water molecules along the channels in that plane [46].

4 Etching solutions Solutions showing orientation dependence on the etch rate of silicon are KOH, TMAH, EDP (Ethylenediamine and Pyrocatecol), N2H4 (hydrazine) and NaOH. All are used as water solutions. The chemical reaction for any of these etching solutions is described as follows: Si + 2OH + 2H 2 O SiO 2 (OH) H 2 Silicon reacts with water and an OH - ion and produces hydroxide ion and hydrogen gas bubbles. Etching masks are usually made of either SiO2 or Si3N4. KOH shows strong anisotropy, and shows large values of etch rate ratios among orientations of about (100). It means that high controllability can be expected in etched profiles, while suppressing mask undercut. KOH solutions are less toxic than other etchants, hence are easy to process. These are the main reasons why KOH is widely used for fabricating silicon microstructures in industry. A drawback with KOH is that, it etches SiO2 mask also significantly during long etching time. Selectivity of Si to SiO2 mask is about 150 under normal conditions. Another drawback is that KOH etching is incompatible to IC processes because contamination with potassium ion is strictly prohibited in IC processes.

5 Etching shapes Etching shapes are categorized into two, one is concave-etched profiles and the other is convex etched profile. Concave-etched profiles on silicon wafers have been fabricated so far for applications in pressure sensors and ink-jet printer head structures. In the case of concave profiles, orientations having an etch rate that is locally minimum appear and Si (111) is the only orientation that has an etch rate of a local minimum for any etching conditions. Incase of convex profiles, orientations having an etch rate that is locally maximum appear. It becomes far more difficult to predict and to control etch profiles having large etch rates. Again, characterization of anisotropy in etch rate is of great importance. The planes occurring at convex corners during anisotropic etching of (100) silicon in aqueous KOH were identified as {411} planes [40]. The etching rate of these planes in relation to the rate of the {100} planes declines with increasing Potassium Hydroxide concentration. In contrast, the temperature dependence of this etch rate ratio is negligible in the relevant range between 60 C and 100 C Silicon dioxide as masking material In the case of KOH etching, the etch rate of SiO2 is not negligible, even if it is a thermally grown oxide. With 40% KOH solution at a temperature of 60 C, it is experimentally verified that etching rate of SiO2

6 62 is about 80 nm/hr. Growth of thin Oxide films (<1 µm) and multiple oxidation steps are incorporated into the process to improve the quality of oxide and also to reduce the pinhole density. The disadvantage of higher etch rate of SiO2 when compared to other masking materials like Si3N4, is converted into an advantage in the process for fabrication of accelerometer structure by in-situ removal of oxide mask of required thickness during silicon etching itself. Other advantages of using SiO2 as mask are easy to grow by thermal oxidation and easy to remove using HF-based solutions Corner undercutting and compensation When etching rectangular corners, deformation of the edges occurs due to under cutting. This is an unwanted effect especially in the fabrication of acceleration sensors where total symmetry and perfect 90 o convex corners on the proof-mass are mandatory for good device prediction and specification. The undercutting is a function of etch time and thus directly related to the desired etch depth. An undercut ratio is defined as the ratio of undercut to etch depth. Saturating KOH solutions with isopropanol (IPA) reduces the convex corner undercutting. Unfortunately, this happens at the cost of the anisotropy of the etchant. Undercutting can also be reduced or even prevented by corner compensation structures which are added to the corners in the mask layout. Depending on the etching solutions,

7 63 different corner compensation schemes are used. Commonly used techniques are square compensation (EDP or KOH) and rotated rectangle corner compensation methods (KOH) [46]. The second method for corner compensation technique is used in this case. In the rotated rectangular corner compensation method, a properly scaled rectangle (Breadth B should be twice the etch depth De ) is added to each of the mask corners. The four sides of the mesa square (proof-mass) are still aligned along the <110> directions, but the compensation rectangles are rotated 45 o with their longer sides along the <100> directions. The rectangular bar along <100> direction is undercut by KOH along three preferential directions, namely <100> sidewalls, <410> sidewalls and <410> of the free end [44]. When the length of the <100> bar is kept at 1.6 B (for 33% KOH), the undercut of the latter two directions should stop first, and only the lateral (100) sidewalls etching determines the final undercut. Thus, the etching rate is the same as that of the (100) etching along with the depth, so if the width of the bar is twice the etching depth, complete convex corners can be obtained. Here, etch depth De = 172 µm is used, as etching takes place from both sides of the wafer (344 µm thick). Width of the rotated rectangle is B = 344 µm and Length L= 1.5 x 344 = 516 µm. The factor 1.5 comes from the fact that 40% KOH is used. This is explained further in section But here, in the last KOH etching step, the mask above the proof-

8 64 mass is also removed for bringing down the proof-mass thickness to 300 µm. Therefore, the effective depth for which the corner compensation mask was retained is only ((344-44)/2 = 150 µm). Taking this into account, the new values are B = 300 µm and L = 450 µm. Considering process alignment tolerances, the final values are finalized as B = 310 µm and L = 460 µm as shown in fig 3.2. Fig 3.2 Corner compensation of the proof-mass (LHS full view and RHS Zoomed view) 3.3 Simulations in Intellisuite AnisE, an anisotropic etch process simulation tool from Intellisuite software is used for anisotropic etching simulation. The input to this is a two dimensional mask and the output is the three dimensional etched structure. The mask used looks as shown in fig. 3.3.

9 65 Fig 3.3 Mask without corner compensation The mask shown is used for etching the (100) plane of silicon wafer with 40% KOH concentration, at temperature 60 o C. Fig 3.4 Structure after etch simulation After etching, the silicon structure is as shown in fig.3.4. The structure consists of a proof -mass and four beams whose thickness is same as that of the proof-mass. The beams are attached to a frame of thickness 300 microns. It is apparent from the figure that the corners of the proof-mass and the beams are etched off. The deformation of the edges occurs due to undercutting and this necessitates the use of compensation structures.

10 Dimensions of corner compensation structure The experiments of Mayer et al showed that the undercutting of convex corners in pure KOH etches are determined exclusively by {411} planes. At the wafer surface, the sectional line of {411} and a {111} plane points in the <410> direction, forming an angle of o with the <110> direction. From literature [44], it is clear that for a rotated rectangle compensation structure, the width B should be equal to twice the etch depth if etching is done from only one side. Meanwhile, the necessary length of the compensation bar depends on its width, hence the etching depth as well as the ratio of etching rates of <410> and <100> [45]. The length of the rectangle is given by L = 1.6 B for 33% KOH [44]. This is verified using Anis-E module of Intellisuite. Here one of the corners of the proof-mass is covered with compensation rectangle structure. The structures after etching are shown in fig 3.5. For 40% KOH solution, the length is even shorter and can fairly approximated as L = 1.5 B taking in to account of the fact that the lateral etching (Etch rate along <410> / Etch rate along <100>) decreases with increase in concentration of KOH [44].

11 67 L = B L = 1.2 B L = 1.4 B L = 1.6 B Fig 3.5 Proof-mass structures showing effect of length variation of compensation mask (33% KOH) Centralization of beams with respect to proof-mass To realize the beams at the center of the proof-mass, a technique known as self aligned etching is used. The anisotropic etching of KOH

12 68 takes place in three phases. In the first phase, the silicon wafer is etched for 55µm by masking the proof-mass and beam areas. In the second phase of etching silicon, the beams are unmasked and the structure is realized with the proof-mass of whole wafer thickness and the beams are centralized with a thickness of 99 µm. In the final phase of etching (44µm), the oxide over the proof-mass is also removed and the complete structure is realized with a proof-mass thickness of 300 µm and beam thickness of 55µm. The etched structure looks as shown in fig 3.6 (a) and (b). Fig 3.6(a) Etch simulation result after first phase etching Fig 3.6(b) Etch simulation result after final phase etching

13 Process flow for fabrication Silicon wafer processing for microstructure fabrication 1. (100) Oriented P-type silicon wafer µm Thick, Resistivity of 0.1 -cm Silicon The processed wafer thickness measured is 344 µm. 2. Wet thermal oxidation for a final oxide thickness of 1.1µm SiO 2

14 70 3. Pattern oxide in the contact area. Oxide is etched using BHF to depth of 0.2µm.(Mask1) Photoresist MASK 1 The etch rate of silicon dioxide in BHF is 0.1µm / min. The above step is required since in the final step, a bulk BHF etching of oxide is to be carried out to open the contact area and also to reduce the oxide thickness in the frame area to 0.15µm-0.2µm. This step also has the added advantage of providing better aligning of the subsequent masks as the contact pad area lies at a fixed distance from the proof-mass beam area.

15 71 4. Oxide patterning with BHF in mass-beam area (Mask 2) MASK 2 5. Wet thermal oxidation in the mass-beam area for a thickness of 0.75µm

16 72 6. Oxide etching using BHF in the beam area (Mask 3) MASK 3 7. Wet thermal oxidation in the beam area for a thickness of 0.15µm

17 73 8. Oxide etching using BHF in the through etching area (Mask 4) MASK 4 9. First Phase KOH etching from both sides KOH concentration : 40% Temperature : 60 o C Etch depth from one side : 27.5 µm Total Silicon thickness removed from through etching area : 55 µ Etch rate Time of etch : 44 µm / hr : 37.5 mins

18 74 Masking material (SiO2) etch rate : 0.08 µm / hr Thickness of oxide removed from beam area : 0.05 µm Thickness of oxide remaining in beam area : 0.1 µm 10. Oxide etching using BHF in the beam area Etch rate of oxide in BHF : 0.1 µm /min Time of etch : 1 min

19 Second phase KOH etching from both sides KOH concentration : 40% Temperature : 60 o C Etch depth from one side : µm Total silicon thickness removed from through etching area : 300 µm Total silicon thickness removed from beam area : 245 µm Etch rate : 44 µm / hr Time of etch : 167 mins `

20 76 Masking material (SiO2) Etch rate : 0.08 µm / hr Thickness of oxide removed from proof-mass area : 0.25 µm Thickness of oxide remaining in proof-mass area : 0.5 µm 12. Oxide etching using BHF in the proof-mass area Etch rate of Oxide in BHF : 0.1 µm /min Time of etch : 5.0 min 13. Third and final phase KOH etching from both sides KOH concentration : 40% Temperature : 60 o C Etch depth from one side : 22 µm Total silicon thickness removed from through etching area : 344 µm

21 77 Total silicon thickness removed from beam area : 289 µm Beam width achieved : = 55 µm Proof-mass thickness achieved : = 300 µm Etch rate : 44 µm / hr Time of etch : 30 mins Masking material (SiO2) etch rate : 0.08 µm / hr Thickness of oxide removed from contact area : 0.04 µm Thickness of oxide remaining in contact area : 0.86 µm 14. Oxide etching using BHF in the contact area Etch rate of Oxide in BHF : 0.1 µm /min Time of etch : 8.6 min

22 78 Maximum Oxide thickness left in the frame area: 0.2µm (Refer Table 3.1) 15. Evaporate 1500 A o Al for contact pad (Mask 5) Al MASK-5

23 79 Maximum Thickness of Silicon dioxide (in microns) remaining after Area Oxidation 1 st Phase KOH Etch 1 st Phase BHF dip 2 nd Phase KOH Etch 2 nd Phase BHF dip 3 rd Phase KOH Etch 3 rd Phase BHF dip Beam Proofmass Contact Frame Table 3. 1 Thickness of Silicon dioxide in different regions at different stages of etching Fig 3.7 Top view of the processed silicon die

24 Top glass wafer processing mm Pyrex 7740 Glass wafer with a thickness of 500µm Glass 2. Evaporate 1500 A 0 Al, on one side of the wafer 3. Pattern Al using Mask 6 Al

25 81 4. Pre dicing trenches are formed in top glass wafer with depth and width of 100 µm. MASK Bottom glass wafer processing mm Pyrex 7740 Glass wafer with a thickness of 500µ Glass

26 82 2. Evaporate 1500 A o Al, on one side of the wafer Al 3. Pattern Al using Mask 7 MASK 7 4. Wafer edge is also diced for placing electrode on Silicon during top glass anodic bonding process 5. The three separately processed wafers are anodically bonded together and diced using mechanical dicing equipment. The exploded view of

27 83 the diced chip is shown in fig 3.8. The total size of the chip is 9 mm x 8 mm x mm Fig 3.8 Exploded view & assembled view of the accelerometer chip 3.5 Results and discussion Fig 3.9 shows the Scanning Electron Microscope (SEM) picture of the proof-mass cross-section. The designed dimensions of the proofmass with rectangular cross-section were 2500 µm x 2500 µm x 300 µm. Due to anisotropic etching, the fabricated proof-mass has hexagonal cross - section and the dimensions achieved are 2458 µm x 2458 µm x 300 µm. This is fairly good result taking into account the complexity of fabrication. Fig 3.10 shows the SEM picture of the beam cross-section. The designed dimensions of the rectangular cross section of beam were 150 µm x 55 µm and the achieved dimensions are 176 µm x 49 µm. Here, the thickness of beam is reduced by 6 µm, and width increased by 26 µm, so the moment of inertia of beam reduces by 0.83

28 84 times compared to the designed rectangular cross-section beam. This is expected to cause 20% increased deflection & sensitivity. Fig 3.9 SEM picture of the proof-mass and beam Fig 3.10 SEM picture of the beam cross section

29 85 Fig 3.11 Sharp corners realized on proof-mass and L-beams Fig 3.12 Photograph of the diced sensor chip in its final form Fig 3.11 shows the clean and sharp corners realized on the corners of the proof-mass and on L-beams. This validates the corner compensation design technique used in the thesis. Fig 3.12 shows the diced chip in its final form. As shown here the top glass is diced in such a way that all the electrical pads are accessible for further wire bonding.

Mikrosensorer. Microfabrication 1

Mikrosensorer. Microfabrication 1 Mikrosensorer Microfabrication 1 Literature Introductory MEMS Fabrication and Applications Thomas M. Adams and Richard A. Layton Available as ebook on http://www.lub.lu.se/en/search/lubsearch.html This

More information

L5: Micromachining processes 1/7 01/22/02

L5: Micromachining processes 1/7 01/22/02 97.577 L5: Micromachining processes 1/7 01/22/02 5: Micromachining technology Top-down approaches to building large (relative to an atom or even a transistor) structures. 5.1 Bulk Micromachining A bulk

More information

Lecture 5: Micromachining

Lecture 5: Micromachining MEMS: Fabrication Lecture 5: Micromachining Prasanna S. Gandhi Assistant Professor, Department of Mechanical Engineering, Indian Institute of Technology, Bombay, Recap: Last Class E-beam lithography X-ray

More information

ME 189 Microsystems Design and Manufacture. Chapter 9. Micromanufacturing

ME 189 Microsystems Design and Manufacture. Chapter 9. Micromanufacturing ME 189 Microsystems Design and Manufacture Chapter 9 Micromanufacturing This chapter will offer an overview of the application of the various fabrication techniques described in Chapter 8 in the manufacturing

More information

Chapter 2 OVERVIEW OF MEMS

Chapter 2 OVERVIEW OF MEMS 6 Chapter 2 OVERVIEW OF MEMS 2.1 MEMS and Microsystems The term MEMS is an abbreviation of microelectromechanical system. MEMS contains components ofsizes in 1 micrometer to 1 millimeter. The core element

More information

MEMS Fabrication. Beyond Integrated Circuits. MEMS Basic Concepts

MEMS Fabrication. Beyond Integrated Circuits. MEMS Basic Concepts MEMS Fabrication Beyond Integrated Circuits MEMS Basic Concepts Uses integrated circuit fabrication techniques to make mechanical as well as electrical components on a single chip. Small size 1µm 1mm Typically

More information

EE 527 MICROFABRICATION. Lecture 23 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 23 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 23 Tai-Chang Chen University of Washington SILICON CRYSTAL STRUCTURE Silicon atoms in a crystal lattice are regularly arranged in a lattice structure. Material properties,

More information

Atomic Layer Deposition(ALD)

Atomic Layer Deposition(ALD) Atomic Layer Deposition(ALD) AlO x for diffusion barriers OLED displays http://en.wikipedia.org/wiki/atomic_layer_deposition#/media/file:ald_schematics.jpg Lam s market-leading ALTUS systems combine CVD

More information

Manufacturing Technologies for MEMS and SMART SENSORS

Manufacturing Technologies for MEMS and SMART SENSORS 4 Manufacturing Technologies for MEMS and SMART SENSORS Dr. H. K. Verma Distinguished Professor (EEE) Sharda University, Greater Noida (Formerly: Deputy Director and Professor of Instrumentation Indian

More information

5.8 Diaphragm Uniaxial Optical Accelerometer

5.8 Diaphragm Uniaxial Optical Accelerometer 5.8 Diaphragm Uniaxial Optical Accelerometer Optical accelerometers are based on the BESOI (Bond and Etch back Silicon On Insulator) wafers, supplied by Shin-Etsu with (100) orientation, 4 diameter and

More information

Bulk Silicon Micromachining

Bulk Silicon Micromachining Bulk Silicon Micromachining Micro Actuators, Sensors, Systems Group University of Illinois at Urbana-Champaign Outline Types of bulk micromachining silicon anisotropic etching crystal orientation isotropic

More information

Ultrasonic Micromachining in the fabrication of MEMS Micro-sensors

Ultrasonic Micromachining in the fabrication of MEMS Micro-sensors Ultrasonic Micromachining in the fabrication of MEMS Micro-sensors Jamil Akhtar Professor AcSIR New Delhi Chief Scientist & Head, CSIR-CEERI, Pilani, INDIA CEERI, Pilani A constituent laboratory of CSIR,

More information

Supporting Information: Model Based Design of a Microfluidic. Mixer Driven by Induced Charge Electroosmosis

Supporting Information: Model Based Design of a Microfluidic. Mixer Driven by Induced Charge Electroosmosis Supporting Information: Model Based Design of a Microfluidic Mixer Driven by Induced Charge Electroosmosis Cindy K. Harnett, Yehya M. Senousy, Katherine A. Dunphy-Guzman #, Jeremy Templeton * and Michael

More information

An optimization study on the anisotropic TMAH wet etching of silicon (100)

An optimization study on the anisotropic TMAH wet etching of silicon (100) International Journal of Material Science Innovations (IJMSI) 1 (3): 115-123, 2013 ISSN: 2289-4063 Academic Research Online Publisher Research Article An optimization study on the anisotropic TMAH wet

More information

Today s Class. Materials for MEMS

Today s Class. Materials for MEMS Lecture 2: VLSI-based Fabrication for MEMS: Fundamentals Prasanna S. Gandhi Assistant Professor, Department of Mechanical Engineering, Indian Institute of Technology, Bombay, Recap: Last Class What is

More information

Fabrication of regular silicon microstructures by photo-electrochemical etching of silicon

Fabrication of regular silicon microstructures by photo-electrochemical etching of silicon phys. stat. sol. (c) 2, No. 9, 3198 3202 (2005) / DOI 10.1002/pssc.200461110 Fabrication of regular silicon microstructures by photo-electrochemical etching of silicon G. Barillaro *, P. Bruschi, A. Diligenti,

More information

General Introduction to Microstructure Technology p. 1 What is Microstructure Technology? p. 1 From Microstructure Technology to Microsystems

General Introduction to Microstructure Technology p. 1 What is Microstructure Technology? p. 1 From Microstructure Technology to Microsystems General Introduction to Microstructure Technology p. 1 What is Microstructure Technology? p. 1 From Microstructure Technology to Microsystems Technology p. 9 The Parallels to Microelectronics p. 15 The

More information

EFFECT OF CRYSTALORIENTATIONIN OXIDATION PROCESS OF VLSI FABRICATION

EFFECT OF CRYSTALORIENTATIONIN OXIDATION PROCESS OF VLSI FABRICATION International Journal of Research in Engineering, Technology and Science, Volume VII, Special Issue, Feb 2017 www.ijrets.com, editor@ijrets.com, ISSN 2454-1915 EFFECT OF CRYSTALORIENTATIONIN OXIDATION

More information

Surface micromachining and Process flow part 1

Surface micromachining and Process flow part 1 Surface micromachining and Process flow part 1 Identify the basic steps of a generic surface micromachining process Identify the critical requirements needed to create a MEMS using surface micromachining

More information

Czochralski Crystal Growth

Czochralski Crystal Growth Czochralski Crystal Growth Crystal Pulling Crystal Ingots Shaping and Polishing 300 mm wafer 1 2 Advantage of larger diameter wafers Wafer area larger Chip area larger 3 4 Large-Diameter Wafer Handling

More information

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width Microelectronics Introduction to the IC technology M.Rencz 11 September, 2002 9/16/02 1/37 Integrated circuits Development is controlled by the roadmaps. Self-fulfilling predictions for the tendencies

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2011

EE C245 ME C218 Introduction to MEMS Design Fall 2011 Lecture Outline EE C245 ME C218 Introduction to MEMS Design Fall 2011 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720

More information

IC/MEMS Fabrication - Outline. Fabrication

IC/MEMS Fabrication - Outline. Fabrication IC/MEMS Fabrication - Outline Fabrication overview Materials Wafer fabrication The Cycle: Deposition Lithography Etching Fabrication IC Fabrication Deposition Spin Casting PVD physical vapor deposition

More information

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam PHYS 534 (Fall 2008) Process Integration Srikar Vengallatore, McGill University 1 OUTLINE Examples of PROCESS FLOW SEQUENCES >Semiconductor diode >Surface-Micromachined Beam Critical Issues in Process

More information

Fabrication Process. Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation CONCORDIA VLSI DESIGN LAB

Fabrication Process. Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation CONCORDIA VLSI DESIGN LAB Fabrication Process Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation 1 Fabrication- CMOS Process Starting Material Preparation 1. Produce Metallurgical Grade Silicon

More information

Welcome MNT Conference 1 Albuquerque, NM - May 2010

Welcome MNT Conference 1 Albuquerque, NM - May 2010 Welcome MNT Conference 1 Albuquerque, NM - May 2010 Introduction to Design Outline What is MEMs Design General Considerations Application Packaging Process Flow What s available Sandia SUMMiT Overview

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

Lecture 22: Integrated circuit fabrication

Lecture 22: Integrated circuit fabrication Lecture 22: Integrated circuit fabrication Contents 1 Introduction 1 2 Layering 4 3 Patterning 7 4 Doping 8 4.1 Thermal diffusion......................... 10 4.2 Ion implantation.........................

More information

EE 5344 Introduction to MEMS. CHAPTER 3 Conventional Si Processing

EE 5344 Introduction to MEMS. CHAPTER 3 Conventional Si Processing 3. Conventional licon Processing Micromachining, Microfabrication. EE 5344 Introduction to MEMS CHAPTER 3 Conventional Processing Why silicon? Abundant, cheap, easy to process. licon planar Integrated

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2011

EE C245 ME C218 Introduction to MEMS Design Fall 2011 Lecture Outline EE C245 ME C218 Introduction to MEMS Design Fall 2011 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720

More information

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS Contents: VI Sem ECE 06EC63: Analog and Mixed Mode VLSI Design PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS 1. Introduction 2. CMOS Fabrication 3. Simplified View of Fabrication Process 3.1 Alternative

More information

Etching Etching Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference

Etching Etching Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference Etching Etching Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between 2 materials Need strong selectivity from masking

More information

Fabrication Technology, Part II

Fabrication Technology, Part II EEL5225: Principles of MEMS Transducers (Fall 2003) Fabrication Technology, Part II Agenda: Process Examples TI Micromirror fabrication process SCREAM CMOS-MEMS processes Wafer Bonding LIGA Reading: Senturia,

More information

The Physical Structure (NMOS)

The Physical Structure (NMOS) The Physical Structure (NMOS) Al SiO2 Field Oxide Gate oxide S n+ Polysilicon Gate Al SiO2 SiO2 D n+ L channel P Substrate Field Oxide contact Metal (S) n+ (G) L W n+ (D) Poly 1 3D Perspective 2 3 Fabrication

More information

EE 330 Lecture 8. IC Fabrication Technology Part II. - Oxidation - Epitaxy - Polysilicon - Interconnects

EE 330 Lecture 8. IC Fabrication Technology Part II. - Oxidation - Epitaxy - Polysilicon - Interconnects EE 330 Lecture 8 IC Fabrication Technology Part II - Oxidation - Epitaxy - Polysilicon - Interconnects Review from Last Time MOS Transistor Bulk Source Gate Drain p-channel MOSFET Lightly-doped n-type

More information

Regents of the University of California

Regents of the University of California Surface-Micromachining Process Flow Photoresist Sacrificial Oxide Structural Polysilcon Deposit sacrificial PSG: Target = 2 m 1 hr. 40 min. LPCVD @450 o C Densify the PSG Anneal @950 o C for 30 min. Lithography

More information

Supporting Information

Supporting Information Supporting Information Fast-Response, Sensitivitive and Low-Powered Chemosensors by Fusing Nanostructured Porous Thin Film and IDEs-Microheater Chip Zhengfei Dai,, Lei Xu,#,, Guotao Duan *,, Tie Li *,,

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2003) Fabrication Technology, Part I Agenda: Oxidation, layer deposition (last lecture) Lithography Pattern Transfer (etching) Impurity Doping Reading: Senturia,

More information

CMOS Manufacturing process. Design rule set

CMOS Manufacturing process. Design rule set CMOS Manufacturing process Circuit design Set of optical masks Fabrication process Circuit designer Design rule set Process engineer All material: Chap. 2 of J. Rabaey, A. Chandrakasan, B. Nikolic, Digital

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 9/13/2007 Fabrication Technology Lecture 1 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world)

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 10: Bulk

More information

Gaetano L Episcopo. Introduction to MEMS

Gaetano L Episcopo. Introduction to MEMS Gaetano L Episcopo Introduction to MEMS What are MEMS? Micro Electro Mechanichal Systems MEMS are integrated devices, or systems of devices, with microscopic parts, such as: Mechanical Parts Electrical

More information

Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very

Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very high voltages (10-600 KeV) Use analyzer to selection charge/mass

More information

Mostafa Soliman, Ph.D. May 5 th 2014

Mostafa Soliman, Ph.D. May 5 th 2014 Mostafa Soliman, Ph.D. May 5 th 2014 Mostafa Soliman, Ph.D. 1 Basic MEMS Processes Front-End Processes Back-End Processes 2 Mostafa Soliman, Ph.D. Wafers Deposition Lithography Etch Chips 1- Si Substrate

More information

Chemical Vapor Deposition

Chemical Vapor Deposition Chemical Vapor Deposition ESS4810 Lecture Fall 2010 Introduction Chemical vapor deposition (CVD) forms thin films on the surface of a substrate by thermal decomposition and/or reaction of gas compounds

More information

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation Micro-Electro-Mechanical Systems (MEMS) Fabrication Fabrication Considerations Stress-Strain, Thin-film Stress, Stiction Special Process Modules for MEMS Bonding, Cavity Sealing, Deep RIE, Spatial forming

More information

Chapter 4 Fabrication Process of Silicon Carrier and. Gold-Gold Thermocompression Bonding

Chapter 4 Fabrication Process of Silicon Carrier and. Gold-Gold Thermocompression Bonding Chapter 4 Fabrication Process of Silicon Carrier and Gold-Gold Thermocompression Bonding 4.1 Introduction As mentioned in chapter 2, the MEMs carrier is designed to integrate the micro-machined inductor

More information

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in Chapter 5 PROCESSING OF DEVICES A discussion of crystal growth, lithography, etching, doping, and device structures is presented in the following overview gures. SEMICONDUCTOR DEVICE PROCESSING: AN OVERVIEW

More information

Semiconductor Manufacturing Process 10/11/2005

Semiconductor Manufacturing Process 10/11/2005 Semiconductor Manufacturing Process 10/11/2005 Photolithography Oxidation CVD PVD Photolithography The purpose of photolithography is to imprint the desired pattern of a micro component on a substrate,

More information

Proceedings Post Fabrication Processing of Foundry MEMS Structures Exhibiting Large, Out-of-Plane Deflections

Proceedings Post Fabrication Processing of Foundry MEMS Structures Exhibiting Large, Out-of-Plane Deflections Proceedings Post Fabrication Processing of Foundry MEMS Structures Exhibiting Large, Out-of-Plane Deflections LaVern Starman 1, *, John Walton 1, Harris Hall 1 and Robert Lake 2 1 Sensors Directorate,

More information

Fabrication Technology

Fabrication Technology Fabrication Technology By B.G.Balagangadhar Department of Electronics and Communication Ghousia College of Engineering, Ramanagaram 1 OUTLINE Introduction Why Silicon The purity of Silicon Czochralski

More information

Silicon Wet Etching. Lecture 21, 22: Dong-il Dan Cho. School of Electrical Engineering and Computer Science, Seoul

Silicon Wet Etching. Lecture 21, 22: Dong-il Dan Cho. School of Electrical Engineering and Computer Science, Seoul Lecture 21, 22: Silicon Wet Etching School of Electrical Engineering and Computer Science, Seoul National University Nano/Micro Systems & Controls Laboratory Bulk Micromachining (1) The purpose of bulk

More information

PHYSICAL ELECTRONICS(ECE3540) Brook Abegaz, Tennessee Technological University, Fall 2013

PHYSICAL ELECTRONICS(ECE3540) Brook Abegaz, Tennessee Technological University, Fall 2013 PHYSICAL ELECTRONICS(ECE3540) Brook Abegaz, Tennessee Technological University, Fall 2013 1 Chapter 1 The Crystal Structure of Solids Physical Electronics: Includes aspects of the physics of electron movement

More information

4. Thermal Oxidation. a) Equipment Atmospheric Furnace

4. Thermal Oxidation. a) Equipment Atmospheric Furnace 4. Thermal Oxidation a) Equipment Atmospheric Furnace Oxidation requires precise control of: temperature, T ambient gas, G time spent at any given T & G, t Vito Logiudice 34 4. Thermal Oxidation b) Mechanism

More information

Microfabrication of Heterogeneous, Optimized Compliant Mechanisms SUNFEST 2001 Luo Chen Advisor: Professor G.K. Ananthasuresh

Microfabrication of Heterogeneous, Optimized Compliant Mechanisms SUNFEST 2001 Luo Chen Advisor: Professor G.K. Ananthasuresh Microfabrication of Heterogeneous, Optimized Compliant Mechanisms SUNFEST 2001 Luo Chen Advisor: Professor G.K. Ananthasuresh Fig. 1. Single-material Heatuator with selective doping on one arm (G.K. Ananthasuresh)

More information

MEMS prototyping using RF sputtered films

MEMS prototyping using RF sputtered films Indian Journal of Pure & Applied Physics Vol. 45, April 2007, pp. 326-331 MEMS prototyping using RF sputtered films Sudhir Chandra, Vivekanand Bhatt, Ravindra Singh, Preeti Sharma & Prem Pal* Centre for

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2010

EE C245 ME C218 Introduction to MEMS Design Fall 2010 Lecture Outline EE C245 ME C218 Introduction to MEMS Design Fall 2010 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720

More information

HYPRES. Hypres MCM Process Design Rules 04/12/2016

HYPRES. Hypres MCM Process Design Rules 04/12/2016 HYPRES Hypres MCM Process Design Rules 04/12/2016 Direct all inquiries, questions, comments and suggestions concerning these design rules and/or HYPRES fabrication to: Daniel T. Yohannes Tel. (914) 592-1190

More information

conductor - gate insulator source gate n substrate conductor - gate insulator gate substrate n open switch closed switch however: closed however:

conductor - gate insulator source gate n substrate conductor - gate insulator gate substrate n open switch closed switch however: closed however: MOS Transistors Readings: Chapter 1 N-type drain conductor - gate insulator source gate drain source n p n substrate P-type drain conductor - gate insulator source drain gate source p p substrate n 42

More information

Thermal Oxidation and Growth of Insulators (Chapter 3 - Jaeger 3) Key advantage of Si: Oxidation of Si into SiO 2 (glass) Major factor in making

Thermal Oxidation and Growth of Insulators (Chapter 3 - Jaeger 3) Key advantage of Si: Oxidation of Si into SiO 2 (glass) Major factor in making Thermal Oxidation and Growth of Insulators (Chapter 3 - Jaeger 3) Key advantage of Si: Oxidation of Si into SiO (glass) Major factor in making Silicon the main semiconductor Grown at high temperature in

More information

Semiconductor Technology

Semiconductor Technology Semiconductor Technology from A to Z Oxidation www.halbleiter.org Contents Contents List of Figures List of Tables II III 1 Oxidation 1 1.1 Overview..................................... 1 1.1.1 Application...............................

More information

A diffused silicon pressure transducer with stress concentrated at transverse gages. Technical paper 267

A diffused silicon pressure transducer with stress concentrated at transverse gages. Technical paper 267 A diffused silicon pressure transducer with stress concentrated at transverse gages Technical paper 267 A diffused silicon pressure transducer with stress concentrated at transverse gages Many Meggitt

More information

Cristina Rusu Imego AB Cristina Rusu

Cristina Rusu Imego AB Cristina Rusu MEMS Fabrication Cristina Rusu Imego AB 2011-02-21 MEMS Semiconductors as mechanical materials Bulk micromachining Dry etching Wet etching Surface micromachining MUMPs Polymer MEMS Wafer bonding Technology:

More information

Surface Micromachining

Surface Micromachining Surface Micromachining Micro Actuators, Sensors, Systems Group University of Illinois at Urbana-Champaign Outline Definition of surface micromachining Most common surface micromachining materials - polysilicon

More information

Lecture 5. SOI Micromachining. SOI MUMPs. SOI Micromachining. Silicon-on-Insulator Microstructures. Agenda:

Lecture 5. SOI Micromachining. SOI MUMPs. SOI Micromachining. Silicon-on-Insulator Microstructures. Agenda: EEL6935 Advanced MEMS (Spring 2005) Instructor: Dr. Huikai Xie SOI Micromachining Agenda: SOI Micromachining SOI MUMPs Multi-level structures Lecture 5 Silicon-on-Insulator Microstructures Single-crystal

More information

EE6303 LINEAR INTEGRATED CIRCUITS AND APPLICATIONS 2 MARK QUESTIONS WITH ANSWERS UNIT I IC FABRICATION

EE6303 LINEAR INTEGRATED CIRCUITS AND APPLICATIONS 2 MARK QUESTIONS WITH ANSWERS UNIT I IC FABRICATION SRI VENKATESWARA COLLEGE OF ENGINEERING AND TECHNOLOGY TIRUPACHUR DEPARTMENT OFELECTRICAL AND ELECTRONICS ENGINEERING EE6303 LINEAR INTEGRATED CIRCUITS AND APPLICATIONS 1. Define an Integrated circuit.

More information

Thomas M. Adams Richard A. Layton. Introductory MEMS. Fabrication and Applications. Springer

Thomas M. Adams Richard A. Layton. Introductory MEMS. Fabrication and Applications. Springer Thomas M. Adams Richard A. Layton Introductory MEMS Fabrication and Applications Springer Contents Preface xiü Part I Fabrication Chapter 1: Introduction 3 1.1 What are MEMS? 3 1.2 Why MEMS? 4 1.2.1. Low

More information

iafor The International Academic Forum

iafor The International Academic Forum IPA Free Texturization Process for Monocrystalline Silicon Solar Cells by PTFE Mask Thipwan Fangsuwannarak, Suranaree University of Technology, Thailand The Asian Conference on Sustainability, Energy and

More information

Silicon Manufacturing

Silicon Manufacturing Silicon Manufacturing Group Members Young Soon Song Nghia Nguyen Kei Wong Eyad Fanous Hanna Kim Steven Hsu th Fundamental Processing Steps 1.Silicon Manufacturing a) Czochralski method. b) Wafer Manufacturing

More information

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation Micro-Electro-Mechanical Systems (MEMS) Fabrication Fabrication Considerations Stress-Strain, Thin-film Stress, Stiction Special Process Modules for MEMS Bonding, Cavity Sealing, Deep RIE, Spatial forming

More information

Surface Micromachining Process for the Integration of AlN Piezoelectric Microstructures

Surface Micromachining Process for the Integration of AlN Piezoelectric Microstructures Surface Micromachining Process for the Integration of AlN Piezoelectric Microstructures Saravanan. S, Erwin Berenschot, Gijs Krijnen and Miko Elwenspoek Transducers Science and Technology Laboratory University

More information

Ion Implantation Most modern devices doped using ion implanters Implant dopants by accelerating individual atoms (ions) Ionize gas sources (single +,

Ion Implantation Most modern devices doped using ion implanters Implant dopants by accelerating individual atoms (ions) Ionize gas sources (single +, Ion Implantation Most modern devices doped using ion implanters Implant dopants by accelerating individual atoms (ions) Ionize gas sources (single +, 2+ or 3+ ionization) Use analyzer to selection charge/mass

More information

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing ELEC 3908, Physical Electronics, Lecture 4 Basic Integrated Circuit Processing Lecture Outline Details of the physical structure of devices will be very important in developing models for electrical behavior

More information

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation Micro-Electro-Mechanical Systems (MEMS) Fabrication Fabrication Considerations Stress-Strain, Thin-film Stress, Stiction Special Process Modules for MEMS Bonding, Cavity Sealing, Deep RIE, Spatial forming

More information

Chapter 2 Manufacturing Process

Chapter 2 Manufacturing Process Digital Integrated Circuits A Design Perspective Chapter 2 Manufacturing Process 1 CMOS Process 2 CMOS Process (n-well) Both NMOS and PMOS must be built in the same silicon material. PMOS in n-well NMOS

More information

Chapter 2 MOS Fabrication Technology

Chapter 2 MOS Fabrication Technology Chapter 2 MOS Fabrication Technology Abstract This chapter is concerned with the fabrication of metal oxide semiconductor (MOS) technology. Various processes such as wafer fabrication, oxidation, mask

More information

Crystal Growth and Wafer Fabrication. K.Sivasankaran, Assistant Professor (Senior), VLSI Division, School of Electronics Engineering, VIT

Crystal Growth and Wafer Fabrication. K.Sivasankaran, Assistant Professor (Senior), VLSI Division, School of Electronics Engineering, VIT Crystal Growth and Wafer Fabrication K.Sivasankaran, Assistant Professor (Senior), VLSI Division, School of Electronics Engineering, VIT Crystal growth Obtaining sand Raw Polysilicon Czochralski Process

More information

9/4/2008 GMU, ECE 680 Physical VLSI Design

9/4/2008 GMU, ECE 680 Physical VLSI Design ECE680: Physical VLSI Design Chapter II CMOS Manufacturing Process 1 Dual-Well Trench-Isolated CMOS Process gate-oxide TiSi 2 AlCu Tungsten SiO 2 p-well poly n-well SiO 2 n+ p-epi p+ p+ 2 Schematic Layout

More information

EE 330 Lecture 9. IC Fabrication Technology Part 2

EE 330 Lecture 9. IC Fabrication Technology Part 2 EE 330 Lecture 9 IC Fabrication Technology Part 2 Quiz 8 A 2m silicon crystal is cut into wafers using a wire saw. If the wire diameter is 220um and the wafer thickness is 350um, how many wafers will this

More information

6.777J/2.732J Design and Fabrication of Microelectromechanical Devices Spring Term Solution to Problem Set 2 (16 pts)

6.777J/2.732J Design and Fabrication of Microelectromechanical Devices Spring Term Solution to Problem Set 2 (16 pts) 6.777J/2.732J Design and Fabrication of Microelectromechanical Devices Spring Term 2007 By Brian Taff (Adapted from work by Feras Eid) Solution to Problem Set 2 (16 pts) Issued: Lecture 4 Due: Lecture

More information

A High Speed Surface Illuminated Si Photodiode. Using Microstructured Holes for Absorption. Enhancements at nm wavelength

A High Speed Surface Illuminated Si Photodiode. Using Microstructured Holes for Absorption. Enhancements at nm wavelength A High Speed Surface Illuminated Si Photodiode Using Microstructured Holes for Absorption Enhancements at 900 1000 nm wavelength Supporting Information Yang Gao, Hilal Cansizoglu, Soroush Ghandiparsi,

More information

Procese de depunere in sistemul Plasma Enhanced Chemical Vapor Deposition (PECVD)

Procese de depunere in sistemul Plasma Enhanced Chemical Vapor Deposition (PECVD) Procese de depunere in sistemul Plasma Enhanced Chemical Vapor Deposition (PECVD) Ciprian Iliescu Conţinutul acestui material nu reprezintă in mod obligatoriu poziţia oficială a Uniunii Europene sau a

More information

Basic&Laboratory& Materials&Science&and&Engineering& Etching&of&Semiconductors&

Basic&Laboratory& Materials&Science&and&Engineering& Etching&of&Semiconductors& ! Basic&Laboratory&! Materials&Science&and&Engineering& Etching&of&Semiconductors& M104&!!!as!of:!31.10.2013!! Aim: To gain a basic understanding of etching techniques, characterization, and structuring

More information

HBV deep mesa etching in InGaAs/InAlAs/AlAs heterostructures on InP substrate

HBV deep mesa etching in InGaAs/InAlAs/AlAs heterostructures on InP substrate Materials Science-Poland, Vol. 23, No. 1, 2005 HBV deep mesa etching in InGaAs/InAlAs/AlAs heterostructures on InP substrate MARIANNA GÓRSKA, HANNA WRZESIŃSKA, ANNA SZERLING, KRZYSZTOF HEJDUK, JACEK RATAJCZAK,

More information

Silicon Microparticle Ejection Using Mist-jet Technology

Silicon Microparticle Ejection Using Mist-jet Technology Yokoyama et al.: Silicon Microparticle Ejection Using Mist-jet Technology (1/5) [Technical Paper] Silicon Microparticle Ejection Using Mist-jet Technology Yoshinori Yokoyama*, Takaaki Murakami*, Takashi

More information

A Nano-thick SOI Fabrication Method

A Nano-thick SOI Fabrication Method A Nano-thick SOI Fabrication Method C.-H. Huang 1, J.T. Cheng 1, Y.-K. Hsu 1, C.-L. Chang 1, H.-W. Wang 1, S.-L. Lee 1,2, and T.-H. Lee 1,2 1 Dept. of Mechanical Engineering National Central University,

More information

Wireless implantable chip with integrated Nitinol-based pump for radio-controlled local drug delivery

Wireless implantable chip with integrated Nitinol-based pump for radio-controlled local drug delivery Electronic Supplementary Material (ESI) for Lab on a Chip. This journal is The Royal Society of Chemistry 2014 Electronic Supplementary Information Wireless implantable chip with integrated Nitinol-based

More information

Semiconductor device fabrication

Semiconductor device fabrication REVIEW Semiconductor device fabrication is the process used to create the integrated circuits (silicon chips) that are present in everyday electrical and electronic devices. It is a multiplestep sequence

More information

Dr. Lynn Fuller Webpage:

Dr. Lynn Fuller Webpage: ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Microelectromechanical Systems (MEMs) Process Integration Dr. Lynn Fuller Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester,

More information

CHAPTER - 4 CMOS PROCESSING TECHNOLOGY

CHAPTER - 4 CMOS PROCESSING TECHNOLOGY CHAPTER - 4 CMOS PROCESSING TECHNOLOGY Samir kamal Spring 2018 4.1 CHAPTER OBJECTIVES 1. Introduce the CMOS designer to the technology that is responsible for the semiconductor devices that might be designed

More information

Graphene Biotransistor Interfaced with a Nitrifying Biofilm

Graphene Biotransistor Interfaced with a Nitrifying Biofilm Supporting Information Graphene Biotransistor Interfaced with a Nitrifying Biofilm Morgan Brown 1, Leila Barker 2, Lewis Semprini 2 and Ethan D. Minot 1 1 Department of Physics, Oregon State University

More information

Solid State Sensors. Microfabrication 8/22/08 and 8/25/08

Solid State Sensors. Microfabrication 8/22/08 and 8/25/08 Solid State Sensors Microfabrication 8/22/08 and 8/25/08 Purpose of This Material To introduce the student to microfabrication techniques as used to fabricate MEMS Sensors Understand concepts not specifics

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time Etching Dry etch (anisotropic) SiO

More information

Why Probes Look the Way They Do Concepts and Technologies of AFM Probes Manufacturing

Why Probes Look the Way They Do Concepts and Technologies of AFM Probes Manufacturing Agilent Technologies AFM e-seminar: Understanding and Choosing the Correct Cantilever for Your Application Oliver Krause NanoWorld Services GmbH All mentioned company names and trademarks are property

More information

4. Process Integration: Case Studies

4. Process Integration: Case Studies Case Study #2: FCantilevered Microgripper Surface Machined MEMS Case Study #2: FCantilevered Microgripper Sandia Lucent Sandia Integrated Accelerometers Optomechanical Systems Integrated Sensors 1 Bulk

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

Lecture 7 CMOS MEMS. CMOS MEMS Processes. CMOS MEMS Processes. Why CMOS-MEMS? Agenda: CMOS MEMS: Fabrication. MEMS structures can be made

Lecture 7 CMOS MEMS. CMOS MEMS Processes. CMOS MEMS Processes. Why CMOS-MEMS? Agenda: CMOS MEMS: Fabrication. MEMS structures can be made EEL6935 Advanced MEMS (Spring 2005) Instructor: Dr. Huikai Xie CMOS MEMS Agenda: Lecture 7 CMOS MEMS: Fabrication Pre-CMOS Intra-CMOS Post-CMOS Deposition Etching Why CMOS-MEMS? Smart on-chip CMOS circuitry

More information

Applications of High-Performance MEMS Pressure Sensors Based on Dissolved Wafer Process

Applications of High-Performance MEMS Pressure Sensors Based on Dissolved Wafer Process Applications of High-Performance MEMS Pressure Sensors Based on Dissolved Wafer Process Srinivas Tadigadapa and Sonbol Massoud-Ansari Integrated Sensing Systems (ISSYS) Inc., 387 Airport Industrial Drive,

More information

Lithography Independent Fabrication of Nano-MOS-Transistors with W = 25 nm and L = 25 nm

Lithography Independent Fabrication of Nano-MOS-Transistors with W = 25 nm and L = 25 nm Lithography Independent Fabrication of Nano-MOS-Transistors with W = 25 nm and L = 25 nm J. T. Horstmann John_Horstmann@ieee.org C. Horst Christian.Horst@udo.edu K. F. Goser goser@ieee.org Abstract The

More information