Effects of Thin Film Depositions on the EUV mask Flatness

Size: px
Start display at page:

Download "Effects of Thin Film Depositions on the EUV mask Flatness"

Transcription

1 Effects of Thin Film Depositions on the EUV mask Flatness Kyoung-Yoon Bang, Jinback Back, Hwan-Seok Seo, Dongwan Kim, DongHoon Chung, SeongSue Kim, Sang-Gyun Woo, and HanKu Cho Photomask Team Semiconductor R & D Center Samsung Electronics Co. Ltd 1

2 Introduction Image Placement (IP) errors due to mask non-flatness have become a serious issue for EUV lithography. Mask non-flatness is induced during complex mask fabrication. Mask non-flatness combined with non-telecentric reticle plane causes errors in focus and X shift at wafer plane. 2

3 Introduction Flatness relationships between mask blanks and thin films should be understood for EUV mask flatness control strategy. EUV Capping Mo/Si LTEM Conductive layer ARC Absorber Buffer EUV mask consists of many thin film layers: Mo/Si multilayers, capping layer, buffer layer, absorber, ARC, and backside conductive layer. Since they can change mask flatness during film deposition, relationship between material thickness and mask flatness should be investigated. In addition, trace of flatness variation in each deposition step can give a useful information for EUV mask flatness control strategy. 3

4 Experimental instruments Ion-beam sputtering (film depositions) IBD has been used to deposit films in EUVL masks since it shows lower defect density and lower film stress than conventional PVD. Depositions of Si, Mo, Ru (capping layer), TaN (absorber) films are available. Interferometer (mask flatness measurements) Near normal incidence interferometry ( Zn) 2 RMS = n TIR = Max Min Spectroscopic Ellipsometry (thickness & refractive index measurements) Δ = δ p δ s ψ = tan 1 r r p s 4

5 Experimental background [ Flatness from interferometer ] After deposition Before deposition Flatness difference by deposition = [ Thin film thickness from Spectroscopic ellipsometer ] Reflection from a single layer film Incident Light Air Film Substrate Reflected Light n2, k2 t1, n1, k1 n0, k0 R R p s p r 12 + r = p 1+ r 12r s r 12 + r = s 1+ r 12r p 23 p 23 s 23 s 23 d β = 2π n~2 cos φ2 λ d = film thickness exp( j2β ) exp( j2β ) exp( j2β ) exp( j2β ) Thin film thickness at 11x11 point S10 S8 S6 S4 S S

6 Experiments Preparation of individual EUV mask film (Si, Mo, Ru, TaN) using IBD. Thin film (50 nm) / thermal SiO 2 (100 nm) / c-si wafer Thin film (10 nm) / Qz blank Measurements of thickness, uniformity, and refractive indexes by Spectroscopic ellipsometry. Characterization of mask flatness using interferometer. Investigation of relationship between mask flatness and film thickness of EUV mask materials. Preparation of EUVL mask blank film stacks using IBD. Trace of mask flatness variations in each deposition step and comparing to individual film results. 6

7 Results and Discussion Refractive index by SE: Thin film (50 nm) / thermal SiO 2 (100 nm) / wafer Refractive index (n, k) Refractive index (n, k) a-si TaN n k Measured Table n k Measured Table Wavelength (nm) Refractive index (n, k) Refractive index (n, k) Wavelength (nm) To analyze thin film thickness, R.I. of films are calculated. Fitted R.I. values are similar to values in n-k table. Mo Ru n k Measured Table n k Measured

8 Uniformity of thin film thickness S10 a-si S10 Mo S8 S8 Low S6 S Low S6 S S2 S S S1 Thickness Range 3Sigma Average S10 S8 TaN S10 S8 Ru a-si 0.36 nm nm nm Mo 0.66 nm nm nm High S6 S Low S6 S Ru 0.72 nm nm nm TaN 0.28 nm nm nm S2 S S S1 Thickness uniformities are in range of ~1 nm with circular distributions. 8

9 Comparison thickness uniformity with flatness. [Thickness] Front [Flatness] Back a-si High Low 9 11 S1 Range 0.36nm Mo S Range 0.661nm High Low Flatness Front RMS Front TIR Back RMS Back TIR a-si 20.1 nm nm 43.0 nm nm Mo 14.2 nm nm nm nm 9

10 Comparison thickness uniformity with flatness. Ru [Thickness] Front [Flatness] Back S10 S8 S6 S4 S2 11 S Range nm High High Low Low TaN High High Low Low S1 Range nm Flatness Front RMS Front TIR Back RMS Back TIR Ru 60.1 nm nm 30.8 nm nm TaN 18.8 nm nm 34.8 nm nm Due to the compressive stress of deposited films, center flatness is higher than edge value on front surface. 10

11 Flatness vs. thickness ratio Front surface: Ru > Mo > TaN > Si 9.74 Back surface: Mo 74.2 > Ru > Si 22.3 > TaN Back flatness is higher than front flatness in all films. F-T ratios (front surface) in mask correspond to film stresses by measuring curvature changes in wafer using FLX system. Changes in mask flatness in each deposition step are mostly coming from compressive stress induced during film deposition. Material Average Thickness Front surface Back surface Flatness (P-V) F-T ratio Flatness (P-V) F-T ratio Film stress (Compressive) Si nm nm nm MPa Mo 9.0 nm nm nm MPa Ru nm nm nm MPa TaN nm nm nm MPa ML 280 nm nm nm MPa 11

12 Flatness vs. thickness ratio after multilayer deposition F-T ratio (front side) Si Mo Si F-T ratio 0 Si Mo Ru TaN Multilayer Substrate Intermixing layer 5 nm Stress (GPa, compressive) Film stress F-T ratio values are in good agreements 2.5 with film stresses. Mo/Si multilayer has lower FT ratio than both Mo and Si films. ML front FT ratio 4.8 < Si 9.74, Mo ML back FT ratio 4.23 < Si 22.3, Mo 74.2 SE analysis result 5 (thermal sio2_s) Coupled to # nm 4 poly_a_tl nm 3 EMA (mo_l_nrd_test)/50% poly_a_tl2.514 nm 2 mo_l_nrd_test nm 1 thermal sio2_s nm 0 silicon_s 1 mm XTEM and SE analyses show that intermixing layer exists at the interface between Si and Mo. Lower F-T ratio in ML is primarily due to the stress relaxation by intermixing Si and Mo. 12

13 Trace of mask flatness during mask blank fabrication Absorber (TaN) Capping (Ru) Mo/Si (40 bilayers) Qz 80 nm 2.5 nm 280 nm 3 step flatness measurements (Qz substrate, after ML deposition, after absorber deposition). Due to the compressive stress of deposited films, convexness of front surface increases through mask blank film deposition steps. For the flatness control of EUV mask, flatness data of mask blanks should be measured and provided from suppliers. Qz After ML After absorber Front surface Back surface Front P-V (nm) Qz ML Absorber Back 13

14 Conclusions 1. We applied spectroscopic ellipsometer to measure thickness and uniformity of thin films used in EUVL masks. Measured thickness uniformities are within 1 nm ranges. 2. Film depositions change front & back flatness. Due to the compressive stress of deposited films, center flatness is higher than edge value on front surface. 3. Flatness-vs.-thickness (F-T) ratios are in good agreements with film stresses. Changes in mask flatness are mostly coming from compressive stress induced during film deposition. 4. Mo/Si multilayer has lower F-T ratio than both Mo and Si films due to the stress relaxation by intermixing at the interface. 5. Due to the compressive stress of deposited films, convexness of front surface increases through mask blank film deposition steps. 14

Progress in EUV blanks development at HOYA

Progress in EUV blanks development at HOYA Progress in EUV blanks development at HOYA T. Shoki, T. Yamada*, A. Ikeda*, J. Miyagaki*, N.Tanaka*, S. Shimojima, R. Ohkubo and O. Nozawa NGL Development Center, Blanks Dev.* HOYA Corporation 1 2005 EUVL

More information

Roadmap in Mask Fab for Particles/Component Performance

Roadmap in Mask Fab for Particles/Component Performance Accelerating the next technology revolution Roadmap in Mask Fab for Particles/Component Performance Frank Goodwin, Vibhu Jindal, Patrick Kearney, Ranganath Teki, Jenah Harris-Jones, Andy Ma, Arun John

More information

EUV Mask Image Placement Management in Writing, Registration, and Exposure Tools

EUV Mask Image Placement Management in Writing, Registration, and Exposure Tools EUV Mask Image Placement Management in Writing, Registration, and Exposure Tools Eric Cotte a *, Uwe Dersch a, Christian Holfeld a, Uwe Mickan b, Holger Seitz c, Thomas Leutbecher c, and Günter Hess c

More information

Simulation Analysis of Defect Repair Methods for EUVL Mask Blanks

Simulation Analysis of Defect Repair Methods for EUVL Mask Blanks Simulation Analysis of Defect Repair Methods for EUVL Mask Blanks Association of Super-Advanced Electronics Technologies (ASET) EUV Process Technology Research Laboratory Takeo Hashimoto and Iao Nishiyama

More information

FIB mask repair technology for EUV mask 1. INTRODUCTION

FIB mask repair technology for EUV mask 1. INTRODUCTION FIB mask repair technology for EUV mask Tsuyoshi Amano*, Yasushi Nishiyama*, iroyuki Shigemura*, Tsuneo Terasawa*, Osamu Suga*, Kensuke Shiina**, Fumio Aramaki**, Anto Yasaka** Tsukasa Abe***, iroshi Mohri***

More information

Overview of SEMI Standards for EUV Masks. Scott Hector ISMT/Motorola Chairman of SEMI EUV Mask Task Force

Overview of SEMI Standards for EUV Masks. Scott Hector ISMT/Motorola Chairman of SEMI EUV Mask Task Force Overview of SEMI Standards for EUV Masks Scott Hector ISMT/Motorola Chairman of SEMI EUV Mask Task Force 1 4 Storage container and attributes of removable protection mechanism (3553) Removable protection

More information

Method to obtain TEOS PECVD Silicon Oxide Thick Layers for Optoelectronics devices Application

Method to obtain TEOS PECVD Silicon Oxide Thick Layers for Optoelectronics devices Application Method to obtain TEOS PECVD Silicon Oxide Thick Layers for Optoelectronics devices Application ABSTRACT D. A. P. Bulla and N. I. Morimoto Laboratório de Sistemas Integráveis da EPUSP São Paulo - S.P. -

More information

Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA. Nano-Bio Electronic Materials and Processing Lab.

Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA. Nano-Bio Electronic Materials and Processing Lab. Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA Issues on contaminants on EUV mask Particle removal on EUV mask surface Carbon contamination removal on EUV mask surface

More information

ALD systems and SENTECH Instruments GmbH

ALD systems and SENTECH Instruments GmbH ALD systems and processes @ SENTECH Instruments GmbH H. Gargouri, F. Naumann, R. Rudolph and M. Arens SENTECH Instruments GmbH, Berlin www.sentech.de 1 2 Agenda 1. Company Introduction 2. SENTECH-ALD-Systems

More information

Multilayer Development for Extreme Ultraviolet and Shorter Wavelength Lithography

Multilayer Development for Extreme Ultraviolet and Shorter Wavelength Lithography Multilayer Development for Extreme Ultraviolet and Shorter Wavelength Lithography Eric Louis 1, Igor Makhotkin 1, Erwin Zoethout 1, Stephan Müllender 2 and Fred Bijkerk 1,3 1 FOM Institute for Plasma Physics

More information

E-Beam Coating Technology for EUVL Optics

E-Beam Coating Technology for EUVL Optics E-Beam Coating Technology for EUVL Optics Eric Louis, Andrey Yakshin, Sebastian Oestreich, Peter Görts, Marc Kessels, Edward Maas and Fred Bijkerk Institute Rijnhuizen, Nieuwegein, The Netherlands Stephan

More information

EUVL Mask Defect Strategy

EUVL Mask Defect Strategy EUVL Mask Defect Strategy EUV Mask March 4, 2002, Santa Clara, CA Alan Stivers, Ted Liang, Barry Lieberman, Pei-yang Yan, Fu-Chang Lo Intel Corporation, Santa Clara, CA USA Outline Introduction Overall

More information

Advanced Sheet-to-Sheet and Roll-to-Roll thin-film processing on ultra-thin flexible glass for flexible electronic devices

Advanced Sheet-to-Sheet and Roll-to-Roll thin-film processing on ultra-thin flexible glass for flexible electronic devices Advanced Sheet-to-Sheet and Roll-to-Roll thin-film processing on ultra-thin flexible glass for flexible electronic devices M. Junghaehnel 1, J. Westphalen 1, F. Naumann 2, G. Lorenz 2, M. Fahland 1, S.

More information

Semiconductor Technology

Semiconductor Technology Semiconductor Technology from A to Z Oxidation www.halbleiter.org Contents Contents List of Figures List of Tables II III 1 Oxidation 1 1.1 Overview..................................... 1 1.1.1 Application...............................

More information

micro resist technology

micro resist technology Characteristics Processing guidelines Negative Tone Photoresist Series ma-n 1400 ma-n 1400 is a negative tone photoresist series designed for the use in microelectronics and microsystems. The resists are

More information

Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices

Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices Hitachi Review Vol. 65 (2016), No. 7 233 Featured Articles Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices Ion-beam-based Photomask Defect Repair

More information

EUV Technology, Martinez, CA

EUV Technology, Martinez, CA Compact in-line EUV Laser Plasma Reflectometer for the measurement reflectivity and uniformity of EUV Lithography Mask Blank Multilayer Coatings Rupert C. C. Perera & James H. Underwood EUV Technology,

More information

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process Data Package

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process Data Package AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process Data Package The information contained herein is, as far as we are aware, true and accurate. However, no representations

More information

Spectroscopic ellipsometry studies of II-VI semiconductor materials and solar cells

Spectroscopic ellipsometry studies of II-VI semiconductor materials and solar cells The University of Toledo The University of Toledo Digital Repository Theses and Dissertations 2010 Spectroscopic ellipsometry studies of II-VI semiconductor materials and solar cells Jie Chen The University

More information

Optical and Physical Characteristics of EUV Phase Shift Masks

Optical and Physical Characteristics of EUV Phase Shift Masks Optical and Physical Characteristics of EUV Phase Shift Masks Tae Geun Kim, Byung Hun Kim, Chang Young Jeong, Chung Yong Kim, SangsulLee, and Jinho Ahn Department of Materials Science and Engineering,,

More information

Lecture 22: Integrated circuit fabrication

Lecture 22: Integrated circuit fabrication Lecture 22: Integrated circuit fabrication Contents 1 Introduction 1 2 Layering 4 3 Patterning 7 4 Doping 8 4.1 Thermal diffusion......................... 10 4.2 Ion implantation.........................

More information

ME 141B: The MEMS Class Introduction to MEMS and MEMS Design. Sumita Pennathur UCSB

ME 141B: The MEMS Class Introduction to MEMS and MEMS Design. Sumita Pennathur UCSB ME 141B: The MEMS Class Introduction to MEMS and MEMS Design Sumita Pennathur UCSB Outline today Introduction to thin films Oxidation Deal-grove model CVD Epitaxy Electrodeposition 10/6/10 2/45 Creating

More information

Ultra High Barrier Coatings by PECVD

Ultra High Barrier Coatings by PECVD Society of Vacuum Coaters 2014 Technical Conference Presentation Ultra High Barrier Coatings by PECVD John Madocks & Phong Ngo, General Plasma Inc., 546 E. 25 th Street, Tucson, Arizona, USA Abstract Silicon

More information

Analysis of optical properties of solar energy materials

Analysis of optical properties of solar energy materials Analysis of optical properties of solar energy materials Comices Energie solaire - Namur - 23/04/2012 Michel Voué Physique des Matériaux et Optique Centre de Recherche en Physique des Matériaux Université

More information

5.8 Diaphragm Uniaxial Optical Accelerometer

5.8 Diaphragm Uniaxial Optical Accelerometer 5.8 Diaphragm Uniaxial Optical Accelerometer Optical accelerometers are based on the BESOI (Bond and Etch back Silicon On Insulator) wafers, supplied by Shin-Etsu with (100) orientation, 4 diameter and

More information

Low temperature deposition of thin passivation layers by plasma ALD

Low temperature deposition of thin passivation layers by plasma ALD 1 Low temperature deposition of thin passivation layers by plasma ALD Bernd Gruska, SENTECH Instruments GmbH, Germany 1. SENTECH in brief 2. Low temperature deposition processes 3. SENTECH SI ALD LL System

More information

2006 UPDATE METROLOGY

2006 UPDATE METROLOGY INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS METROLOGY THE ITRS DEVED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING TO INDIVIDUAL PRODUCTS

More information

MCC. PMGI Resists NANO PMGI RESISTS OFFER RANGE OF PRODUCTS

MCC. PMGI Resists NANO PMGI RESISTS OFFER RANGE OF PRODUCTS MCC PMGI RESISTS OFFER Sub.25µm lift-off processing Film thicknesses from 5µm Choice of resin blends for optimal undercut control High thermal stability Superior adhesion to Si, NiFe, GaAs, InP

More information

EE 434 Lecture 9. IC Fabrication Technology

EE 434 Lecture 9. IC Fabrication Technology EE 434 Lecture 9 IC Fabrication Technology Quiz 7 The layout of a film resistor with electrodes A and B is shown. If the sheet resistance of the film is 40 /, determine the resistance between nodes A and

More information

Challenges for Commercially viable Transparent Conductive Oxide Layers

Challenges for Commercially viable Transparent Conductive Oxide Layers Challenges for Commercially viable Transparent Conductive Oxide Layers Arkema Inc. 900 First Ave., King of Prussia, PA 19406 R. Y. Korotkov, L. Fang, P. Ricou, M. Bluhm, J. Coffey, C. Polsz and G. Silverman

More information

Thin Film Scattering: Epitaxial Layers

Thin Film Scattering: Epitaxial Layers Thin Film Scattering: Epitaxial Layers 6th Annual SSRL Workshop on Synchrotron X-ray Scattering Techniques in Materials and Environmental Sciences: Theory and Application May 29-31, 2012 Thin films. Epitaxial

More information

Resolving lateral and vertical structures by ellipsometry using wavelength range scan

Resolving lateral and vertical structures by ellipsometry using wavelength range scan *Manuscript Click here to view linked References Resolving lateral and vertical structures by ellipsometry using wavelength range scan P. Petrik a,b, E. Agocs a,b, J. Volk a, I. Lukacs a, B. Fodor a,c,

More information

200mm Next Generation MEMS Technology update. Florent Ducrot

200mm Next Generation MEMS Technology update. Florent Ducrot 200mm Next Generation MEMS Technology update Florent Ducrot The Most Exciting Industries on Earth Semiconductor Display Solar 20,000,000x reduction in COST PER TRANSISTOR in 30 years 1 20x reduction in

More information

EUV Defect Repair Strategy

EUV Defect Repair Strategy EUV Defect Repair Strategy J.H. Peters, S. Perlitz, U. Matejka, W. Harnisch, D. Hellweg, M. Weiss, M. Waiblinger, T. Bret, T. Hofmann, K. Edinger, K. Kornilov Carl Zeiss SMS / SMT 2011 International Symposium

More information

Choi, Jun-Hyuk Korea Institute of Machinery & Materials

Choi, Jun-Hyuk Korea Institute of Machinery & Materials The 11 th US-Korea Nanosymposium Choi, Jun-Hyuk 2014. 09. 29 Korea Institute of Machinery & Materials About KIMM Nano-research Bldg Clean RM Five Research Divisions; 1. Advanced Manufacturing Sys. 2. Extreme

More information

State of the art quality of a GeOx interfacial passivation layer formed on Ge(001)

State of the art quality of a GeOx interfacial passivation layer formed on Ge(001) APPLICATION NOTE State of the art quality of a Ox interfacial passivation layer formed on (001) Summary A number of research efforts have been made to realize Metal-Oxide-Semiconductor Field Effect Transistors

More information

1. Introduction. What is implantation? Advantages

1. Introduction. What is implantation? Advantages Ion implantation Contents 1. Introduction 2. Ion range 3. implantation profiles 4. ion channeling 5. ion implantation-induced damage 6. annealing behavior of the damage 7. process consideration 8. comparison

More information

Reliability Evaluation of CIF (chip-in-flex) and COF (chip-on-flex) packages

Reliability Evaluation of CIF (chip-in-flex) and COF (chip-on-flex) packages Reliability Evaluation of CIF (chip-in-flex) and COF (chip-on-flex) packages Jae-Won Jang* a, Kyoung-Lim Suk b, Kyung-Wook Paik b, and Soon-Bok Lee a a Dept. of Mechanical Engineering, KAIST, 335 Gwahangno

More information

micro resist technology

micro resist technology Characteristics Processing guidelines Negative Tone Photoresist Series ma-n 2400 ma-n 2400 is a negative tone photoresist series designed for the use in micro- and nanoelectronics. The resists are available

More information

Fabrication Technology

Fabrication Technology Fabrication Technology By B.G.Balagangadhar Department of Electronics and Communication Ghousia College of Engineering, Ramanagaram 1 OUTLINE Introduction Why Silicon The purity of Silicon Czochralski

More information

Measurement of thickness of native silicon dioxide with a scanning electron microscope

Measurement of thickness of native silicon dioxide with a scanning electron microscope Measurement of thickness of native silicon dioxide with a scanning electron microscope V. P. Gavrilenko* a, Yu. A. Novikov b, A. V. Rakov b, P. A. Todua a a Center for Surface and Vacuum Research, 40 Novatorov

More information

HYPRES. Hypres MCM Process Design Rules 04/12/2016

HYPRES. Hypres MCM Process Design Rules 04/12/2016 HYPRES Hypres MCM Process Design Rules 04/12/2016 Direct all inquiries, questions, comments and suggestions concerning these design rules and/or HYPRES fabrication to: Daniel T. Yohannes Tel. (914) 592-1190

More information

COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING

COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING Lynne Michaelson 1, Anh Viet Nguyen 2, Krystal Munoz 1, Jonathan C. Wang

More information

Lecture 5. SOI Micromachining. SOI MUMPs. SOI Micromachining. Silicon-on-Insulator Microstructures. Agenda:

Lecture 5. SOI Micromachining. SOI MUMPs. SOI Micromachining. Silicon-on-Insulator Microstructures. Agenda: EEL6935 Advanced MEMS (Spring 2005) Instructor: Dr. Huikai Xie SOI Micromachining Agenda: SOI Micromachining SOI MUMPs Multi-level structures Lecture 5 Silicon-on-Insulator Microstructures Single-crystal

More information

Surface micromachining and Process flow part 1

Surface micromachining and Process flow part 1 Surface micromachining and Process flow part 1 Identify the basic steps of a generic surface micromachining process Identify the critical requirements needed to create a MEMS using surface micromachining

More information

Processing guidelines. Negative Tone Photoresist Series ma-n 2400

Processing guidelines. Negative Tone Photoresist Series ma-n 2400 Characteristics Processing guidelines Negative Tone Photoresist Series ma-n 2400 ma-n 2400 is a negative tone photoresist series designed for the use in micro- and nanoelectronics. The resists are available

More information

Thermal Evaporation. Theory

Thermal Evaporation. Theory Thermal Evaporation Theory 1. Introduction Procedures for depositing films are a very important set of processes since all of the layers above the surface of the wafer must be deposited. We can classify

More information

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing ELEC 3908, Physical Electronics, Lecture 4 Basic Integrated Circuit Processing Lecture Outline Details of the physical structure of devices will be very important in developing models for electrical behavior

More information

Status and Challenges in EUV Mask Cleaning

Status and Challenges in EUV Mask Cleaning MA-P03 Status and Challenges in EUV Mask Cleaning Ted Liang, Grace Ng, Guojing Zhang, Henry Yun, Ravi Jaiswal*, Intel Corporation * Summer Intern from Purdue University Takeya Shimomura, Toshiaki Motonaga

More information

Photoresist and Process Issues on 193nm Immersion Lithography

Photoresist and Process Issues on 193nm Immersion Lithography Photoresist and Process Issues on 193nm Immersion Lithography Jin-Young Yoon, Jung-Hwan Hah, Yun-Kyung Jang, Mitsuhiro Hata, Hyung-Rae Lee, Chan Hwang, Young-Jae Jung, Shi-Yong Lee, Yool Kang, Hyun-Woo

More information

Oxide Growth. 1. Introduction

Oxide Growth. 1. Introduction Oxide Growth 1. Introduction Development of high-quality silicon dioxide (SiO2) has helped to establish the dominance of silicon in the production of commercial integrated circuits. Among all the various

More information

450mm Metrology and Inspection: The Current State and the Road Ahead. Rand Cottle (CNSE), Nithin Yathapu (GF), Katherine Sieg (Intel)

450mm Metrology and Inspection: The Current State and the Road Ahead. Rand Cottle (CNSE), Nithin Yathapu (GF), Katherine Sieg (Intel) 450mm Metrology and Inspection: The Current State and the Road Ahead Rand Cottle (CNSE), Nithin Yathapu (GF), Katherine Sieg (Intel) Outline Program Update Demonstration Testing Method (DTM) Equipment

More information

Fabrication and Layout

Fabrication and Layout ECEN454 Digital Integrated Circuit Design Fabrication and Layout ECEN 454 3.1 A Glimpse at MOS Device Polysilicon Aluminum ECEN 475 4.2 1 Material Classification Insulators Glass, diamond, silicon oxide

More information

SEMI P10 for Automated Mask Orders

SEMI P10 for Automated Mask Orders SEMI P10 for Automated Mask Orders Prepared for International Sematech Mask Automation Workshop February 9, 2005 Wes Erck SEMI P10 Task Force Leader SEMI NA Microlithography Committee Co-Chair SEMI P10

More information

Poly-SiGe MEMS actuators for adaptive optics

Poly-SiGe MEMS actuators for adaptive optics Poly-SiGe MEMS actuators for adaptive optics Blake C.-Y. Lin a,b, Tsu-Jae King a, and Richard S. Muller a,b a Department of Electrical Engineering and Computer Sciences, b Berkeley Sensor and Actuator

More information

How can MOCVD enable production of cost efficient HB LED's

How can MOCVD enable production of cost efficient HB LED's How can MOCVD enable production of cost efficient HB LED's Dr. Frank Schulte AIXTRON SE Company and Market Market requests and challenges Answer from the technology Conclusion P 2 Confidential Proprietary

More information

Lecture Day 2 Deposition

Lecture Day 2 Deposition Deposition Lecture Day 2 Deposition PVD - Physical Vapor Deposition E-beam Evaporation Thermal Evaporation (wire feed vs boat) Sputtering CVD - Chemical Vapor Deposition PECVD LPCVD MVD ALD MBE Plating

More information

Thermo-Mechanical Reliability of Through-Silicon Vias (TSVs)

Thermo-Mechanical Reliability of Through-Silicon Vias (TSVs) 1 Thermo-Mechanical Reliability of Through-Silicon Vias (TSVs) Xi Liu Ph.D. Student and Suresh K. Sitaraman, Ph.D. Professor The George W. Woodruff School of Mechanical Engineering Georgia Institute of

More information

Precision Optical Engineering

Precision Optical Engineering Precision Optical Engineering Products: Prisms Windows Mirrors Flats and Master angles Sight Glasses Key Features: Prisms (Contacted, Cemented, AR coated, Mounted) Windows (Flat, wedged, curved, drilled,

More information

4 Concept of Ripple Pyrometry during Flash Lamp Annealing

4 Concept of Ripple Pyrometry during Flash Lamp Annealing 4 Concept of Ripple Pyrometry during Flash Lamp Annealing 4.1 Review on Temperature Measurement for Flash Lamp Annealing Several different efforts have been undertaken to measure temperature by contactless

More information

Silicon Manufacturing

Silicon Manufacturing Silicon Manufacturing Group Members Young Soon Song Nghia Nguyen Kei Wong Eyad Fanous Hanna Kim Steven Hsu th Fundamental Processing Steps 1.Silicon Manufacturing a) Czochralski method. b) Wafer Manufacturing

More information

White Paper: Pixelligent Internal Light Extraction Layer for OLED Lighting

White Paper: Pixelligent Internal Light Extraction Layer for OLED Lighting White Paper: Pixelligent Internal Light Zhiyun (Gene) Chen, Ph.D., Vice President of Engineering Jian Wang, Ph.D., Manager, Application Engineering Pixelligent Technologies LLC, 6411 Beckley Street, Baltimore,

More information

Available online at ScienceDirect. Materials Today: Proceedings 2 (2015 )

Available online at  ScienceDirect. Materials Today: Proceedings 2 (2015 ) Available online at www.sciencedirect.com ScienceDirect Materials Today: Proceedings 2 (2015 ) 5582 5586 International Conference on Solid State Physics 2013 (ICSSP 13) Thickness dependent optimization

More information

IMP EPD End Point Detector

IMP EPD End Point Detector IMP EPD End Point Detector An overview of the Hiden Analytical SIMS end point detector system for ion beam etch applications IMP-EPD Presentation Topics The topics covered in the presentation include:

More information

High strain point glass substrate for photovoltaic solar cell

High strain point glass substrate for photovoltaic solar cell Empowering solar efficiency Module material PV200 High strain point glass substrate for photovoltaic solar cell Much less deformation in the heating process than soda-lime glass. Small variations in thermal

More information

US A1 (19) United States (12) Patent Application Publication (10) Pub. N0.: US 2013/ A1 Jindal et a]. (43) Pub. Date: Aug.

US A1 (19) United States (12) Patent Application Publication (10) Pub. N0.: US 2013/ A1 Jindal et a]. (43) Pub. Date: Aug. US 20130202990A1 (19) United States (12) Patent Application Publication (10) Pub. N0.: US 2013/0202990 A1 Jindal et a]. (43) Pub. Date: Aug. 8, 2013 (54) COATING OF SHIELD SURFACES IN C23C 14/34 (2006.01)

More information

Thin Nitride Measurement Example

Thin Nitride Measurement Example Thin Nitride Measurement Example GOAL: Get the most information from your data and analyze it properly to make the right decisions! Look at the data in multiple ways to understand your process better.

More information

Optical Coatings. Photonics 4 Luxury Coatings , Genève. Dr. Andreas Bächli Head of Optical Coatings at RhySearch, Buchs (SG)

Optical Coatings. Photonics 4 Luxury Coatings , Genève. Dr. Andreas Bächli Head of Optical Coatings at RhySearch, Buchs (SG) Optical Coatings Photonics 4 Luxury Coatings 21.06.2017, Genève Dr. Andreas Bächli Head of Optical Coatings at RhySearch, Buchs (SG) RhySearch The Research- and Innovation Center in the Rhine Valley RhySearch

More information

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005 3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005 1) This is an open book, take-home quiz. You are not to consult with other class members or anyone else. You may discuss the

More information

Optical characterization of an amorphoushydrogenated carbon film and its application in phase modulated diffractive optical elements

Optical characterization of an amorphoushydrogenated carbon film and its application in phase modulated diffractive optical elements Optical characterization of an amorphoushydrogenated carbon film and its application in phase modulated diffractive optical elements G. A. Cirino a, P. Verdonck a, R. D. Mansano a, L. G. Neto b a LSI-PEE-EPUSP

More information

Relation Between Internal Stress and Surface Roughness of Titanium Nitride Films Deposited by HCD Ion Plating

Relation Between Internal Stress and Surface Roughness of Titanium Nitride Films Deposited by HCD Ion Plating No.22,28 65 Relation Between Internal Stress and Surface Roughness of Titanium Nitride Films Deposited by HCD Ion Plating Itsuo Ishigami Ken-ichi Miura Hideaki Hoshino Tomoyuki Mizukoshi (28 6 17 ) An

More information

Atomic Layer Deposition of Novel High Dielectric Constant Materials

Atomic Layer Deposition of Novel High Dielectric Constant Materials Atomic Layer Deposition of Novel High Dielectric Constant Materials Adam Kueltzo # & Julie Lam * Thornton Fractional North High School # and Maine East High School * August 2, 2007 University of Illinois

More information

Laser Spike Annealing for sub-20nm Logic Devices

Laser Spike Annealing for sub-20nm Logic Devices Laser Spike Annealing for sub-20nm Logic Devices Jeff Hebb, Ph.D. July 10, 2014 1 NCCAVS Junction Technology Group Semicon West Meeting July 10, 2014 Outline Introduction Pattern Loading Effects LSA Applications

More information

Trench Structure Improvement of Thermo-Optic Waveguides

Trench Structure Improvement of Thermo-Optic Waveguides International Journal of Applied Science and Engineering 2007. 5, 1: 1-5 Trench Structure Improvement of Thermo-Optic Waveguides Fang-Lin Chao * Chaoyang University of Technology, Wufong, Taichung County

More information

Roll-to-roll Technology for Transparent High Barrier Films

Roll-to-roll Technology for Transparent High Barrier Films Roll-to-roll Technology for Transparent High Barrier Films Presented at the AIMCAL Fall Technical Conference, October 19-22, 2008, Myrtle Beach, SC, USA Nicolas Schiller, John Fahlteich, Matthias Fahland,

More information

Introduction to Micro/Nano Fabrication Techniques. Date: 2015/05/22 Dr. Yi-Chung Tung. Fabrication of Nanomaterials

Introduction to Micro/Nano Fabrication Techniques. Date: 2015/05/22 Dr. Yi-Chung Tung. Fabrication of Nanomaterials Introduction to Micro/Nano Fabrication Techniques Date: 2015/05/22 Dr. Yi-Chung Tung Fabrication of Nanomaterials Top-Down Approach Begin with bulk materials that are reduced into nanoscale materials Ex:

More information

2Dlayer Product Catalog

2Dlayer Product Catalog 2Dlayer Product Catalog Your idea, Our materials! We provide solutions, not just materials. Tel.: 1-919-228-9662 Email: info@2dlayer.com URL: http://2dlayer.com We accept purchase orders and all kinds

More information

Amorphous Oxide Transistor Electrokinetic Reflective Display on Flexible Glass

Amorphous Oxide Transistor Electrokinetic Reflective Display on Flexible Glass Amorphous Oxide Transistor Electrokinetic Reflective Display on Flexible Glass Devin A. Mourey, Randy L. Hoffman, Sean M. Garner *, Arliena Holm, Brad Benson, Gregg Combs, James E. Abbott, Xinghua Li*,

More information

Impurity free vacancy disordering of InGaAs quantum dots

Impurity free vacancy disordering of InGaAs quantum dots JOURNAL OF APPLIED PHYSICS VOLUME 96, NUMBER 12 15 DECEMBER 2004 Impurity free vacancy disordering of InGaAs quantum dots P. Lever, H. H. Tan, and C. Jagadish Department of Electronic Materials Engineering,

More information

Enabling Technology in Thin Wafer Dicing

Enabling Technology in Thin Wafer Dicing Enabling Technology in Thin Wafer Dicing Jeroen van Borkulo, Rogier Evertsen, Rene Hendriks, ALSI, platinawerf 2G, 6641TL Beuningen Netherlands Abstract Driven by IC packaging and performance requirements,

More information

3.46 OPTICAL AND OPTOELECTRONIC MATERIALS

3.46 OPTICAL AND OPTOELECTRONIC MATERIALS Badgap Engineering: Precise Control of Emission Wavelength Wavelength Division Multiplexing Fiber Transmission Window Optical Amplification Spectrum Design and Fabrication of emitters and detectors Composition

More information

Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process

Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process by Kozue Yabusaki * and Hirokazu Sasaki * In recent years the FIB technique has been widely used for specimen

More information

Laser Produced Plasma for Production EUV Lithography

Laser Produced Plasma for Production EUV Lithography TRW / Cutting Edge Optronics Laser Produced Plasma for Production EUV Lithography EUVL Source Workshop October 29, 2001 TRW/CEO Laser-Produced Plasma (LPP) EUV Source Development and Commercialization

More information

THE PENNSYLVANIA STATE UNIVERSITY SCHREYER HONORS COLLEGE DEPARTMENT OF ENGINEERING SCIENCE AND MECHANICS

THE PENNSYLVANIA STATE UNIVERSITY SCHREYER HONORS COLLEGE DEPARTMENT OF ENGINEERING SCIENCE AND MECHANICS THE PENNSYLVANIA STATE UNIVERSITY SCHREYER HONORS COLLEGE DEPARTMENT OF ENGINEERING SCIENCE AND MECHANICS A STUDY OF BIASED TARGET ION BEAM DEPOSITED DIELECTRIC OXIDES FELIX ARONOVICH FALL 2013 A thesis

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther EECS 40 Spring 2003 Lecture 19 Microfabrication 4/1/03 Prof. ndy Neureuther How are Integrated Circuits made? Silicon wafers Oxide formation by growth or deposition Other films Pattern transfer by lithography

More information

8. Epitaxy. - Extended single-crystal film formation on top of a crystalline substrate

8. Epitaxy. - Extended single-crystal film formation on top of a crystalline substrate 8. Epitaxy 1. Introduction επι(epi placed or resting upon) ταξιζ(taxis arrangement) - Extended single-crystal film formation on top of a crystalline substrate - Homoepitaxy : Film and substrate are the

More information

Microstructures using RF sputtered PSG film as a sacrificial layer in surface micromachining

Microstructures using RF sputtered PSG film as a sacrificial layer in surface micromachining Sādhanā Vol. 34, Part 4, August 2009, pp. 557 562. Printed in India Microstructures using RF sputtered PSG film as a sacrificial layer in surface micromachining VIVEKANAND BHATT 1,, SUDHIR CHANDRA 1 and

More information

Impact of New MoSi Mask Compositions on Processing and Repair

Impact of New MoSi Mask Compositions on Processing and Repair Impact of New MoSi Mask Compositions on Processing and Repair Anthony Garetto 1, John Stuckey 2, Don Butler 2 1 Carl Zeiss SMS Inc., 1 Corporation Way, 01960 Peabody, MA 2 MP Mask Technology Center LLC,

More information

Silicon Wafer Processing PAKAGING AND TEST

Silicon Wafer Processing PAKAGING AND TEST Silicon Wafer Processing PAKAGING AND TEST Parametrical test using test structures regularly distributed in the wafer Wafer die test marking defective dies dies separation die fixing (not marked as defective)

More information

Photolithography I ( Part 2 )

Photolithography I ( Part 2 ) 1 Photolithography I ( Part 2 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) contents UNIT I INTRODUCTION: Introduction to IC Technology MOS, PMOS, NMOS, CMOS & BiCMOS technologies. BASIC ELECTRICAL PROPERTIES : Basic Electrical

More information

Fabrication and testing of a MEMS-based optical filter combined with a HgCdTe detector

Fabrication and testing of a MEMS-based optical filter combined with a HgCdTe detector Fabrication and testing of a MEMS-based optical filter combined with a HgCdTe detector Dmitry A. Kozak* 1,2, Bautista Fernandez 1, Michael L. Morley 2, Silviu Velicu 2, Joel Kubby 1 1 University of California,

More information

High Power Gas Discharge and Laser Produced Plasma Sources for EUV Lithography

High Power Gas Discharge and Laser Produced Plasma Sources for EUV Lithography High Power Gas Discharge and Laser Produced Plasma Sources for EUV Lithography U. Stamm, I. Ahmad, I. Balogh, H. Birner, D. Bolshukhin, J. Brudermann, S. Enke, F. Flohrer, K. Gäbel, S. Götze, G. Hergenhan,

More information

Nanoimprinting in Polymers and Applications in Cell Studies. Albert F. YEE Chemical Engineering & Materials Science UC Irvine

Nanoimprinting in Polymers and Applications in Cell Studies. Albert F. YEE Chemical Engineering & Materials Science UC Irvine Nanoimprinting in Polymers and Applications in Cell Studies Albert F. YEE Chemical Engineering & Materials Science UC Irvine Presentation outline Motivation Reversal imprinting Soft inkpad imprinting on

More information

A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon

A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon April 2009 A Deep Silicon RIE Primer 1.0) Etching: Silicon does not naturally etch anisotropically in fluorine based chemistries. Si

More information

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook:

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook: HOMEWORK 4 and 5 March 15, 2009 Homework is due on Monday March 30, 2009 in Class. Chapter 7 Answer the following questions from the Course Textbook: 7.2, 7.3, 7.4, 7.5, 7.6*, 7.7, 7.9*, 7.10*, 7.16, 7.17*,

More information

Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric

Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric K. Matano 1, K. Funamizu 1, M. Kouda 1, K. Kakushima 2, P. Ahmet 1, K. Tsutsui 2, A. Nishiyama 2, N. Sugii

More information

Supplementary Figure S1 Crystal structure of the conducting filaments in sputtered SiO 2

Supplementary Figure S1 Crystal structure of the conducting filaments in sputtered SiO 2 Supplementary Figure S1 Crystal structure of the conducting filaments in sputtered SiO 2 based devices. (a) TEM image of the conducting filament in a SiO 2 based memory device used for SAED analysis. (b)

More information

Deep Silicon Etching An Enabling Technology for Wireless Systems Segment By Carson Ogilvie and Joel Goodrich Commercial Product Solutions

Deep Silicon Etching An Enabling Technology for Wireless Systems Segment By Carson Ogilvie and Joel Goodrich Commercial Product Solutions Deep Silicon Etching An Enabling Technology for Wireless Systems Segment By Carson Ogilvie and Joel Goodrich Commercial Product Solutions Abstract The recent installation of a new etch tool, the Surface

More information