Low Temperature Curable Positive Tone Photosensitive Polyimide Photoneece LT series. Toray Industries, Inc.

Size: px
Start display at page:

Download "Low Temperature Curable Positive Tone Photosensitive Polyimide Photoneece LT series. Toray Industries, Inc."

Transcription

1 Low Temperature Curable Positive Tone Photosensitive Polyimide Photoneece LT series Toray Industries, Inc. 1

2 The features of LT series (1) Low temperature curable ( ~170 ) Less damage for weak semiconductor device by its low thermal budget (2) Extreme low residual stress (13MPa) and low shrinkage during curing (5 ~10%) (3) Alkali developable (2.38%TMAH solution can be used) (4) Physical properties of LT series are same as those of conventional photosensitive polyimide (5) Good resistance to bump or WLP process chemicals (6) Fine patterning resolution (~3um, aspect ratio is about 2) (7) Pattern profile suitable for WLP (re-wiring) process Cure condition( h) 170 X 1 X-section Tensile strength 100Mpa Film propertie s Elongation 30% Young s modulus 2.5GPa (THK:5um) Residual stress 13MPa 2

3 The film properties and pattern profile vs curing temperature Curing condition( h) 170 X X X 1 Film propertie s Tensile strength 100MPa 100MPa 102MPa Elongation 30% 20% 20% Young s modulus Residual stress 2.5GPa 2.6GPa 2.8GPa 13MPa 13MPa 25MPa < Sample preparation > Pre-baking : sec. : mJ/cm2 (i-line stepper) : 30sec 2Puddles by TMAH 2.38% Curing : 170~250 1h 170 1h 200 1h 250 1h 3um 3um 3um 5um 5um 5um (The film thickness after curing :5μm) 3

4 Heat stability, chemical resistance Heat stability (outgas) Curing condition 180-1h Quantity of outgas (RT- 300 ) 174ppm Heat stability(pattern profile) 200 cured 300 treatment in Air 200-1h 250-1h 89.3ppm 58.6ppm measured by temperature prograumed desorption MS (TPD-MS) 200 cured Chemical resistance Non-electric Au plating Chemicals treatments Heat stability+chemical resistance 200 cured Flux treatment (300 10min) Low out gas during solder process, no pattern shape change, good chemical resistance 4

5 T/C Test (X-section) Solder bump Solder: M705-BPS3-T5H (Sn-Ag-Cu) (Senju Metal Industry) UBM (non electrolytic plating) Ni/Au (3um/0.05um) Cu 4μm Ti barrier metal ILD-2 LT (7um) ILD-1 LT (7um) Base layer (7um) PV (p-sin) 500nm Si substrate Scribe line The curing temp. of ILD : 200 ( Time is 1 hour) 5

6 Package manufacture and T/C test condition Daisy chain Cu Die PSPI 1 a b c d e f g h i j k l m 14 about 14.0 Ω interposer a 1 m 14 k j < Condition for Packaging > 1) Flux process Flux : WS600 (Cookson Electronics) The peak temp. of reflow : sec. Cleaner : WS-2104 (Kaken Tech) 70 15min Rinser : ST-05 ((Kaken Tech) min 2) The peak temp. of solder reflow : sec. 3) Underfill : U (Namics) The stage temp. of injection : The temp. of curing : min < Thermal cycle > -40~125 (each 15min ) with monitoring the resistance of daisy chain b c d l g i e f h 6

7 Result of T/C test PSPI LT-6100 LT-6600 PWseries Curing temp T / C 0 0/15 0/15 0/15 0/15 0/15 0/ /15 0/15 0/15 0/15 0/15 0/ /15 0/15 0/15 0/15 0/15 0/ /15 0/15 0/15 0/15 0/15 0/ /15 0/15 0/15 0/15 0/15 0/ /15 0/15 0/15 0/15 0/15 0/ /15 0/15 0/15 0/15 0/15 0/ /15 0/15 0/15 0/15 0/15 0/15 There is no fail(open) under 650 cycle of T/C test. 7

8 Technical Data 8

9 LT-series Film properties Tensile strength Elogation Young's modulus CTE Residual stress 5% weight loss temp. Tg (TMA) Dielectric constant Volume resistance Surface resistance Breakdown voltage Water absorption LT-6100 LT-6300 LT-6500 LT-6600 High Low stress Low stress photosensiti High Tg type and slightly type vity type high Tg MPa(200 ) cure % cure % cure % GPa(200 ) ppm/ (200 ) cure MPa cure MPa cure MPa cure cure cure cure (200 ) Ωcm >10^16 >10^16 >10^16 >10^16 Ω/ >10^16 >10^16 >10^16 >10^16 kv/mm > >420 >420 %(200 )

10 LT-series Photo process Process performance Chemical resistance Coat Prebake PEB Develop Cure Solvent Resist stripper Alkakine Etchant LT-6100 LT-6300 LT-6500 LT-6600 without HMDS spin /min 120/2.5 3um mj/cm um mj/cm um mj/cm um mj/cm Not required sec /min / NMP PGME EL IPA TOK106 25%NaOH 2.38%TMAH H2SO4/H2O2 1%HF rt/15min rt/15min rt/15min rt/15min rt/15min rt/15min rt/5min rt/5min rt/5min no change no change no change no change no change no change no change no change no change 10

11 Spin curve of LT series < Sample preparation > Substrate : Bare Si Prebake : sec Spin coat : Clean Track ACT8 (TEL) The film thickness ( mm) LT-6100 LT-6300 LT-6500 LT Rotation speed X (rpm) 11

12 Coating recipe of LT series STEP Time(s) Rotation(rpm Accel Dispense Arm1 Arm center NW home center NW home center NW home center NW home home NW home X home NW home X home NW in home NW in ,6 1 home NW in home NW in home NW home home NW home Film thickness is controled in step 6,7.(Main speed; Xrpm) Edge rince flow rate:10ml/min Back rince flow rate:70ml/min Dispence No. 1:PI dispence 5:back rince(ebr7030) 6:edge rince(ebr7030) 12

13 The patterning process of LT-6100 < 3um > Spincoat X: 3800rpm Pre-baking 120 o C 180sec. (DHP) (Thickness after pre-baking :4.55 um) 150 mj/cm2 (ghi-line Aligner) / 200 mj/cm 2 (i-line stepper) 30 sec. 2 Puddle development (Thickness after development :3.23 um) Curing o C for 30min+ 200 o C for 60min (N 2 ) (Thickness after curing :2.98um) < 5um > Spincoat Pre-baking Curing < 7um > Spincoat Pre-baking Curing < 9um> Spincoat Pre-baking Curing X: 2000rpm 120 o C 180sec. (DHP) (Thickness after pre-baking :6.53 um) 200 mj/cm 2 (ghi-line Aligner) / 300 mj/cm2 (i-line stepper) 30 sec. 2 Puddle development (Thickness after development :5.32 um) o C for 30min+ 200 o C for 60min (N 2 ) (Thickness after curing :4.98um) X: 1400rpm 120 o C 180sec. (DHP) (Thickness after pre-baking :8.72 um) 300 mj/cm 2 (ghi-line Aligner) / 600 mj/cm2 (i-line stepper) 30 sec. 2 Puddle development (Thickness after development :7.51 um) o C for 30min+ 200 o C for 60min (N 2 ) (Thickness after curing :7.03um) X: 1050rpm 120 o C 180sec. (DHP) (Thickness after pre-baking :10.88 um) 350 mj/cm 2 (ghi-line Aligner) / 1200 mj/cm2 (i-line stepper) 30 sec. 2 Puddle development (Thickness after development :9.65 um) o C for 30min+ 200 o C for 60min (N 2 ) (Thickness after curing :9.04um) 13

14 Pattern profile of LT-6100 <Sample preparation> Substrate Prebake Cure : Bare Si : sec : 500mJ/cm2 (i-line stepper) : 30sec 2Puddle : 50C 110C 30min 170 ~250C 1h (3.5C/min) Cure:170 Cure:200 Cure:250 Pattern size 10um >100um 14

15 The patterning process of LT-6300 < 3um > Spincoat X: 3600rpm Pre-baking 120 o C 180sec. (DHP) (Thickness after pre-baking :4.75 um) 75 mj/cm2 (ghi-line Aligner) / 150 mj/cm 2 (i-line stepper) 30 sec. 2 Puddle development (Thickness after development :3.20 um) Curing o C for 30min+ 200 o C for 60min (N 2 ) (Thickness after curing :2.98um) < 5um > Spincoat Pre-baking Curing < 7um > Spincoat Pre-baking Curing < 9um> Spincoat Pre-baking Curing X: 2000rpm 120 o C 180sec. (DHP) (Thickness after pre-baking :6.87 um) 100 mj/cm 2 (ghi-line Aligner) / 250 mj/cm2 (i-line stepper) 30 sec. 2 Puddle development (Thickness after development :5.36 um) o C for 30min+ 200 o C for 60min (N 2 ) (Thickness after curing :5.00um) X: 1350rpm 120 o C 180sec. (DHP) (Thickness after pre-baking :9.02 um) 200 mj/cm 2 (ghi-line Aligner) / 550 mj/cm2 (i-line stepper) 30 sec. 2 Puddle development (Thickness after development :7.49 um) o C for 30min+ 200 o C for 60min (N 2 ) (Thickness after curing :7.04um) X: 1000rpm 120 o C 180sec. (DHP) (Thickness after pre-baking :11.16 um) 300 mj/cm 2 (ghi-line Aligner) / 900 mj/cm2 (i-line stepper) 30 sec. 2 Puddle development (Thickness after development :9.65 um) o C for 30min+ 200 o C for 60min (N 2 ) (Thickness after curing :8.91um) 15

16 Pattern profile of LT-6300 <Sample preparation> Substrate Prebake Cure : Bare Si : sec : 500mJ/cm2 (i-line stepper) : 30sec 2Puddle : 50C 110C 30min 170 ~250C 1h (3.5C/min) Cure:170 Cure:200 Cure:250 Pattern size 10um >100um 16

17 The patterning process of LT-6500 < 3um > Spincoat X: 3600rpm Pre-baking 120 o C 180sec. (DHP) (Thickness after pre-baking :4.91 um) 75 mj/cm2 (ghi-line Aligner) / 200 mj/cm 2 (i-line stepper) 45 sec. 2 Puddle development (Thickness after development :3.22 um) Curing o C for 30min+ 200 o C for 60min (N 2 ) (Thickness after curing :3.00um) < 5um > Spincoat Pre-baking Curing < 7um > Spincoat Pre-baking Curing < 9um> Spincoat Pre-baking Curing X: 2000rpm 120 o C 180sec. (DHP) (Thickness after pre-baking :7.12 um) 100 mj/cm 2 (ghi-line Aligner) / 300 mj/cm2 (i-line stepper) 45 sec. 2 Puddle development (Thickness after development :5.42 um) o C for 30min+ 200 o C for 60min (N 2 ) (Thickness after curing :5.08um) X: 1400rpm 120 o C 180sec. (DHP) (Thickness after pre-baking :9.17 um) 250 mj/cm 2 (ghi-line Aligner) / 650 mj/cm2 (i-line stepper) 45 sec. 2 Puddle development (Thickness after development :7.41 um) o C for 30min+ 200 o C for 60min (N 2 ) (Thickness after curing :6.95um) X: 1000rpm 120 o C 180sec. (DHP) (Thickness after pre-baking :11.33 um) 400 mj/cm 2 (ghi-line Aligner) / 1400 mj/cm2 (i-line stepper) 45 sec. 2 Puddle development (Thickness after development :9.66 um) o C for 30min+ 200 o C for 60min (N 2 ) (Thickness after curing :9.02um) 17

18 Pattern profile of LT-6500 <Sample preparation> Substrate Prebake Cure : Bare Si : sec : 500mJ/cm2 (i-line stepper) : 45sec 2Puddle : 50C 110C 30min 170 ~250C 1h (3.5C/min) Cure:170 Cure:200 Cure:250 Pattern size 10um >100um 18

19 The patterning process of LT-6600 < 3um > Spincoat X: 3800rpm Pre-baking 120 o C 180sec. (DHP) (Thickness after pre-baking :4.35 um) 75 mj/cm2 (ghi-line Aligner) / 200 mj/cm 2 (i-line stepper) 30 sec. 2 Puddle development (Thickness after development :3.20 um) Curing o C for 30min+ 200 o C for 60min (N 2 ) (Thickness after curing :2.97um) < 5um > Spincoat Pre-baking Curing < 7um > Spincoat Pre-baking Curing < 9um> Spincoat Pre-baking Curing X: 2000rpm 120 o C 180sec. (DHP) (Thickness after pre-baking :6.50 um) 100 mj/cm 2 (ghi-line Aligner) / 300 mj/cm2 (i-line stepper) 30 sec. 2 Puddle development (Thickness after development :5.34 um) o C for 30min+ 200 o C for 60min (N 2 ) (Thickness after curing :4.96um) X: 1500rpm 120 o C 180sec. (DHP) (Thickness after pre-baking :8.66 um) 200 mj/cm 2 (ghi-line Aligner) / 600 mj/cm2 (i-line stepper) 30 sec. 2 Puddle development (Thickness after development :7.52 um) o C for 30min+ 200 o C for 60min (N 2 ) (Thickness after curing :6.98um) X: 1100rpm 120 o C 180sec. (DHP) (Thickness after pre-baking :10.88 um) 350 mj/cm 2 (ghi-line Aligner) / 1200 mj/cm2 (i-line stepper) 30 sec. 2 Puddle development (Thickness after development :9.72 um) o C for 30min+ 200 o C for 60min (N 2 ) (Thickness after curing :9.03um) 19

20 Pattern profile of LT-6600 <Sample preparation> Substrate Prebake Cure : Bare Si : sec : 500mJ/cm2 (i-line stepper) : 30sec 2Puddle : 50C 110C 30min 170 ~250C 1h (3.5C/min) Cure:170 Cure:200 Cure:250 Pattern size 10um >100um 20

21 The adhesion strength test between PSPI and Substrate < Test condition > < Test condition > Equipment : Shear tester series 4000 Load cell : BS250 Test speed : 100um/sec. Height of rod from substrate : 5um Sub Sub PSPI 21

22 LT6100 adhesion strength Cure Temp\Substrate Cu SiO SiN Si (MPa) LT6100 Adhesion strength (MPa) Cu SiO SiN Si

23 LT6300 adhesion strength Cure Temp\Substrate Cu SiO SiN Si (MPa) LT6300 Adhesion strength (MPa) Cu SiO SiN Si

24 LT6500 adhesion strength Cure Temp\Substrate Cu SiO SiN Si (MPa) LT6500 Adhesion strength (MPa) Cu SiO SiN Si

25 LT6600 adhesion strength Cure Temp\Substrate Cu SiO SiN Si (MPa) LT6600 Adhesion strength (MPa) Cu SiO SiN Si

26 26

Toray Electro Coating Material Non- photosensitive Polyimide Semicofine SP-400 Series. Toray Industries Inc.

Toray Electro Coating Material Non- photosensitive Polyimide Semicofine SP-400 Series. Toray Industries Inc. Toray Electro Coating Material Non- photosensitive Polyimide Semicofine SP-400 Series Toray Industries Inc. 1 Contents 1. Product Line up of Toray s PI Coating Material 2. Mechanical Properties 3. Thermal

More information

Avatrel Stress Buffer Coatings: Low Stress Passivation and Redistribution Applications

Avatrel Stress Buffer Coatings: Low Stress Passivation and Redistribution Applications Avatrel Stress Buffer Coatings: Low Stress Passivation and Redistribution Applications Ed Elce, Chris Apanius, Jeff Krotine, Jim Sperk, Andrew Bell, Rob Shick* Sue Bidstrup-Allen, Paul Kohl Takashi Hirano,

More information

Dow Corning WL-5150 Photodefinable Spin-On Silicone

Dow Corning WL-5150 Photodefinable Spin-On Silicone Dow Corning WL-515 Photodefinable Spin-On Silicone Properties and Processing Procedures Introduction Dow Corning WL-515 is a silicone formulation which can be photopatterned and cured using standard microelectronics

More information

Novel Materials and Activities for Next Generation Package. Hitachi Chemical., Co.Ltd. Packaging Solution Center Hiroaki Miyajima

Novel Materials and Activities for Next Generation Package. Hitachi Chemical., Co.Ltd. Packaging Solution Center Hiroaki Miyajima Novel Materials and Activities for Next Generation Package Hitachi Chemical., Co.Ltd. Packaging Solution Center Hiroaki Miyajima 1. Activities of Packaging Solution Center 2. Novel Materials for Next Gen.

More information

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller Webpage: http://www.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604

More information

Die Attach Materials. Die Attach G, TECH. 2U. TECHNICAL R&D DIV.

Die Attach Materials. Die Attach G, TECH. 2U. TECHNICAL R&D DIV. Die Attach Materials Die Attach G, TECH. 2U. TECHNICAL R&D DIV. 2 Topics 3 What it is X 5,000 X 10,000 X 50,000 Si Chip Au Plating Substrate Ag Resin 4 Current Products Characteristics H9890-6A H9890-6S

More information

Enabling Materials Technology for Multi-Die Integration

Enabling Materials Technology for Multi-Die Integration Enabling Materials Technology for Multi-Die Integration Dr. Jeffrey M. Calvert Global R&D Director, Advanced Packaging Technologies Dow Electronic Materials 455 Forest St., Marlborough, MA 01752 USA jcalvert@dow.com

More information

Photolithography I ( Part 2 )

Photolithography I ( Part 2 ) 1 Photolithography I ( Part 2 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process Data Package

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process Data Package AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process Data Package The information contained herein is, as far as we are aware, true and accurate. However, no representations

More information

AZ P4620 Photoresist Data Package

AZ P4620 Photoresist Data Package AZ P4620 Photoresist Data Package The information contained herein is, as far as we are aware, true and accurate. However, no representations or warranties, either express or implied, whether of merchantable

More information

Ultra Fine Pitch Bumping Using e-ni/au and Sn Lift-Off Processes

Ultra Fine Pitch Bumping Using e-ni/au and Sn Lift-Off Processes Ultra Fine Pitch Bumping Using e-ni/au and Sn Lift-Off Processes Andrew Strandjord, Thorsten Teutsch, and Jing Li Pac Tech USA Packaging Technologies, Inc. Santa Clara, CA USA 95050 Thomas Oppert, and

More information

Cu Pillar Interconnect and Chip-Package-Interaction (CPI) for Advanced Cu Low K chip

Cu Pillar Interconnect and Chip-Package-Interaction (CPI) for Advanced Cu Low K chip EPRC 12 Project Proposal Cu Pillar Interconnect and Chip-Package-Interaction (CPI) for Advanced Cu Low K chip 15 th Aug 2012 Page 1 Introduction: Motivation / Challenge Silicon device with ultra low k

More information

curamik CERAMIC SUBSTRATES AMB technology Design Rules Version #04 (09/2015)

curamik CERAMIC SUBSTRATES AMB technology Design Rules Version #04 (09/2015) curamik CERAMIC SUBSTRATES AMB technology Design Rules Version #04 (09/2015) Content 1. Geometric properties 1.01. Available ceramic types / thicknesses... 03 1.02. thicknesses (standard)... 03 3. Quality

More information

Technical Data Sheet Technisches Datenblatt

Technical Data Sheet Technisches Datenblatt AZ ECI 3000 Photoresist Universal i-line/crossover Photoresist Series GENERAL INFORMATION AZ ECI 3000 photoresist series are a family of fast positive resists with high resolution capabilities (0.4 µm

More information

125nXT Series. EMD PeRFoRmaNce MaTeRIaLs. technical datasheet. Photopolymer Negative Tone Photoresists APPLICATION TYPICAL PROCESS THICKNESS GRADES

125nXT Series. EMD PeRFoRmaNce MaTeRIaLs. technical datasheet. Photopolymer Negative Tone Photoresists APPLICATION TYPICAL PROCESS THICKNESS GRADES EMD PeRFoRmaNce MaTeRIaLs technical datasheet AZ 125nXT Series Photopolymer Negative Tone Photoresists APPLICATION Thick photopolymer photoresists featuring aspect ratios and photospeed not possible with

More information

TSV Interposer Process Flow with IME 300mm Facilities

TSV Interposer Process Flow with IME 300mm Facilities TSV Interposer Process Flow with IME 300mm Facilities Property of Institute of Microelectronics (IME)-Singapore August 17, 2012 Outline 1. TSV interposer (TSI) cross sectional schematic TSI with BEOL,

More information

An Innovative High Throughput Thermal Compression Bonding Process

An Innovative High Throughput Thermal Compression Bonding Process An Innovative High Throughput Thermal Compression Bonding Process Li Ming 2 September 2015 Outline Introduction Throughput improved TCB Process Liquid Phase Contact (LPC) bonding Flux-LPC-TCB under inert

More information

Chips Face-up Panelization Approach For Fan-out Packaging

Chips Face-up Panelization Approach For Fan-out Packaging Chips Face-up Panelization Approach For Fan-out Packaging Oct. 15, 2015 B. Rogers, D. Sanchez, C. Bishop, C. Sandstrom, C. Scanlan, TOlson T. REV A Background on FOWLP Fan-Out Wafer Level Packaging o Chips

More information

micro resist technology

micro resist technology Characteristics Processing guidelines Negative Tone Photoresist Series ma-n 1400 ma-n 1400 is a negative tone photoresist series designed for the use in microelectronics and microsystems. The resists are

More information

Ultralow Residue Semiconductor Grade Fluxes for Copper Pillar Flip-Chip

Ultralow Residue Semiconductor Grade Fluxes for Copper Pillar Flip-Chip Ultralow Residue Semiconductor Grade Fluxes for Copper Pillar Flip-Chip SzePei Lim (Presenter), Jason Chou, Maria Durham, and Dr. Andy Mackie Indium Corporation 1 Outline of Presentation Roadmaps and challenges

More information

North America Europe, Middle East and Africa Latin America Asia-Pacific. Table 1. Photo-BCB Formulations

North America Europe, Middle East and Africa Latin America Asia-Pacific. Table 1. Photo-BCB Formulations Processing Procedures CYCLOTENE 4000 Series Advanced Electronics Resins (Photo BCB) Processing Procedures for CYCLOTENE 4000 Series Photo BCB Resins DS2100 Puddle Develop Process Regional Product Availability

More information

INTERVIA BPP-10 Photoresist

INTERVIA BPP-10 Photoresist Technical Data Sheet INTERVIA BPP-10 Photoresist For Advanced Packaging Applications Description Regional Product Availability Advantages INTERVIA BPP-10 Photoresist is a general-purpose, multi-wavelength

More information

Lithography Tool Package

Lithography Tool Package 4. Development Thomas Anhøj and Tine Greibe Outline 1. Introduction Process steps in UV lithography 2. Spin coating Resist composition Pre-treatment Principle Softbake Spin curve 3. Exposure Hardware Process

More information

Novel Spin on Planarization Technology by Photo Curing SOC (P-SOC)

Novel Spin on Planarization Technology by Photo Curing SOC (P-SOC) Journal of Photopolymer Science and Technology Volume 3, Number 3 (17) 373-378 C 17SPST Technical Paper Novel Spin on Planarization Technology by Photo Curing (P-) Takafumi Endo*, Rikimaru Sakamoto, Keisuke

More information

4. Thermal Oxidation. a) Equipment Atmospheric Furnace

4. Thermal Oxidation. a) Equipment Atmospheric Furnace 4. Thermal Oxidation a) Equipment Atmospheric Furnace Oxidation requires precise control of: temperature, T ambient gas, G time spent at any given T & G, t Vito Logiudice 34 4. Thermal Oxidation b) Mechanism

More information

ESPANEX L Series. Technical data sheet Nishigotanda Shinagawa Tokyo, , Japan TEL FAX

ESPANEX L Series. Technical data sheet Nishigotanda Shinagawa Tokyo, , Japan TEL FAX ESPANEX L Series Technical data sheet This sheet will be changed without any information in advance. The data on this sheet are solely for your reference and are not to be constructed as constituting a

More information

WF6317. A superactive low-volatile/high heat-resistant water-soluble flux for ball soldering

WF6317. A superactive low-volatile/high heat-resistant water-soluble flux for ball soldering WF637 A superactive low-volatile/high heat-resistant water-soluble flux for ball soldering Low viscosity and high tacking power stabilize ball holding force and ensures excellent solder wettability Easy

More information

micro resist technology

micro resist technology Characteristics Processing guidelines Negative Tone Photoresist Series ma-n 2400 ma-n 2400 is a negative tone photoresist series designed for the use in micro- and nanoelectronics. The resists are available

More information

SU Permanent Epoxy Negative Photoresist PROCESSING GUIDELINES FOR:

SU Permanent Epoxy Negative Photoresist PROCESSING GUIDELINES FOR: SU-8 2000 Permanent Epoxy Negative Photoresist PROCESSING GUIDELINES FOR: SU-8 2100 and SU-8 2150 www.microchem.com SU-8 2000 is a high contrast, epoxy based photoresist designed for micromachining and

More information

EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES

EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES G. Fortunato, A. Pecora, L. Maiolo, M. Cuscunà, D. Simeone, A. Minotti, and L. Mariucci CNR-IMM,

More information

Thermo-Mechanical Reliability of Through-Silicon Vias (TSVs)

Thermo-Mechanical Reliability of Through-Silicon Vias (TSVs) 1 Thermo-Mechanical Reliability of Through-Silicon Vias (TSVs) Xi Liu Ph.D. Student and Suresh K. Sitaraman, Ph.D. Professor The George W. Woodruff School of Mechanical Engineering Georgia Institute of

More information

Hitachi Anisotropic Conductive Film ANISOLM AC-8955YW. Issued 2007/03/30

Hitachi Anisotropic Conductive Film ANISOLM AC-8955YW. Issued 2007/03/30 Hitachi Chemical Data Sheet Hitachi Anisotropic Conductive Film ANISOLM AC-8955YW Issued 27/3/3 1. Standard specification, bonding condition, storage condition and characteristic...1 2. Precautions in

More information

Flip Chip - Integrated In A Standard SMT Process

Flip Chip - Integrated In A Standard SMT Process Flip Chip - Integrated In A Standard SMT Process By Wilhelm Prinz von Hessen, Universal Instruments Corporation, Binghamton, NY This paper reviews the implementation of a flip chip product in a typical

More information

SUSS SOLUTIONS FOR LARGE FORMAT PATTERNING UV Scanning Lithography and Excimer Laser Ablation

SUSS SOLUTIONS FOR LARGE FORMAT PATTERNING UV Scanning Lithography and Excimer Laser Ablation SUSS SOLUTIONS FOR LARGE FORMAT PATTERNING UV Scanning Lithography and Excimer Laser Ablation Kevin Yang, Habib Hichri, Ralph Zoberbier SÜSS MicroTec Photonic Systems Inc. June 18, 2015 MARKET DRIVER Mobile

More information

Introduction of CSC Pastes

Introduction of CSC Pastes Introduction of CSC Pastes Smart Phones & Conductive Pastes Chip Varistors Chip Inductors LC Filters Flexible Printed Circuit Boards Electronic Molding Compounds ITO Electrodes PCB Through Holes Semiconductor

More information

Wafer Level Packaging EKC162 Photoresist & PI/PBO Remover. Date. WLP Remover V1.5

Wafer Level Packaging EKC162 Photoresist & PI/PBO Remover. Date. WLP Remover V1.5 Wafer Level Packaging EKC162 Photoresist & PI/PBO Remover Date WLP Remover V1.5 Assembly Board One DuPont Solution CooLam TM Kaptone Thermo conductive/ Thermal resistant Substrate system Packaging & Assembly

More information

Processing Procedures for CYCLOTENE 3000 Series Dry Etch Resins

Processing Procedures for CYCLOTENE 3000 Series Dry Etch Resins Revised: April 2008 Processing Procedures for CYCLOTENE 3000 Series Dry Etch Resins 1. INTRODUCTION The CYCLOTENE 3000 series Advanced Electronic Resins derived from B-staged bisbenzocyclobutene (BCB)

More information

UV5 POSITIVE DUV PHOTORESIST For DUV Applications

UV5 POSITIVE DUV PHOTORESIST For DUV Applications UV5 POSITIVE DUV PHOTORESIST For DUV Applications DESCRIPTION UV5 positive DUV photo resist has been optimized to provide vertical profile imaging of isolated and semidense features for device production

More information

Introduction to Materials & Applications

Introduction to Materials & Applications Introduction to Materials & Applications 1 PDM-5001Aprima IS700 Adhesive Paste Designed for use as a dispensable coating that serves as a hot-melt adhesive After cure, it produces no residue at 330 C,

More information

Advanced Analytical Techniques for Semiconductor Assembly Materials and Processes. Jason Chou and Sze Pei Lim Indium Corporation

Advanced Analytical Techniques for Semiconductor Assembly Materials and Processes. Jason Chou and Sze Pei Lim Indium Corporation Advanced Analytical Techniques for Semiconductor Assembly Materials and Processes Jason Chou and Sze Pei Lim Indium Corporation Agenda Company introduction Semiconductor assembly roadmap challenges Fine

More information

Development of Multi Chip Modules for Extreme Environments. Hyun Joong Lee

Development of Multi Chip Modules for Extreme Environments. Hyun Joong Lee Development of Multi Chip Modules for Extreme Environments by Hyun Joong Lee A thesis submitted to the Graduate Faculty of Auburn University in partial fulfillment of the requirements for the Degree of

More information

Processing guidelines

Processing guidelines Processing guidelines mr-uvcur21 series UV-curable Polymer for UV-based Nanoimprint Lithography Characteristics mr-uvcur21 is a liquid UV-curable polymer system with low viscosity and high curing rate

More information

How To Write A Flowchart

How To Write A Flowchart 1 Learning Objectives To learn how you transfer a device concept into a process flow to fabricate the device in the EKL labs You learn the different components that makes up a flowchart; process blocks,

More information

FUJIFILM ELECTRONIC MATERIALS FUJIFILM ELECTRONIC MATERIALS

FUJIFILM ELECTRONIC MATERIALS FUJIFILM ELECTRONIC MATERIALS TECHNICAL PRODUCT INFORMATION Durimide 200 Pre-imidized Polyimide FUJIFILM ELECTRONIC MATERIALS FUJIFILM ELECTRONIC MATERIALS Pre-imidized Polyimide Durimide 200 The Durimide 200 Series is a fully imidized

More information

A new Glass GEM with a single sided guard-ring structure

A new Glass GEM with a single sided guard-ring structure A new Glass GEM with a single sided guard-ring structure RD-51 session! 5 July, 2013!! Yuki MITSUYA a, Takeshi FUJIWARA b, Hiroyuki TAKAHASHI a!! a Department of Nuclear Engineering and Management, The

More information

Hot Chips: Stacking Tutorial

Hot Chips: Stacking Tutorial Hot Chips: Stacking Tutorial Choon Lee Technology HQ, Amkor Enabling a Microelectronic World Mobile Phone Technology Change Feature Phone Smartphone Smartphones as a Percentage of All Phones Source : The

More information

Panel Discussion: Advanced Packaging

Panel Discussion: Advanced Packaging Dr. Steve Bezuk Senior Director IC Packaging Engineering Qualcomm Technologies, Inc. Panel Discussion: Advanced Packaging PAGE 1 Technical Challenges of Packaging (Mobile Focus) Materials Die materials

More information

TGV and Integrated Electronics

TGV and Integrated Electronics TGV and Integrated Electronics Shin Takahashi ASAHI GLASS CO., LTD. 1 Ambient Intelligence Green Energy/Environment Smart Factory Smart Mobility Smart Mobile Devices Bio/Medical Security/Biometrics 2 Glass

More information

Recent Advances in Die Attach Film

Recent Advances in Die Attach Film Recent Advances in Die Attach Film Frederick Lo, Maurice Leblon, Richard Amigh, and Kevin Chung. AI Technology, Inc. 70 Washington Road, Princeton Junction, NJ 08550 www.aitechnology.com Abstract: The

More information

Scotch-Weld TM Structural Plastic Adhesive DP-8010

Scotch-Weld TM Structural Plastic Adhesive DP-8010 Scotch-Weld TM Structural Plastic Adhesive DP-8010 Product Data Sheet Updated : February 2003 Supersedes : None Product Description 3M Scotch-Weld TM is a two part acrylic-based adhesive (10:1 ratio by

More information

New Developments in Moisture Barrier Materials and Conductive Adhesives for Flexible Display Applications

New Developments in Moisture Barrier Materials and Conductive Adhesives for Flexible Display Applications T H E C U S T O M E R F O C U S E D E L E C T R O N I C S O L U T I O N P R O V I D E R New Developments in Moisture Barrier Materials and Conductive Adhesives for Flexible Display Applications Dr. Stijn

More information

Processing guidelines. Negative Tone Photoresist Series ma-n 2400

Processing guidelines. Negative Tone Photoresist Series ma-n 2400 Characteristics Processing guidelines Negative Tone Photoresist Series ma-n 2400 ma-n 2400 is a negative tone photoresist series designed for the use in micro- and nanoelectronics. The resists are available

More information

DuPont Kapton HPP-ST

DuPont Kapton HPP-ST DuPont HPP-ST POLYIMIDE FILM Technical Data Sheet DuPont HPP-ST is a two-sided, treated film that offers the same excellent balance of physical, chemical, and electrical properties over a wide temperature

More information

SEMI Networking Day 2013 Rudolph Corporate Introduction

SEMI Networking Day 2013 Rudolph Corporate Introduction SEMI Networking Day 2013 Rudolph Corporate Introduction Rudolph Technologies: Corporate Profile Business: Semiconductor capital equipment company dedicated exclusively to inspection, advanced packaging

More information

FABRICATION AND RELIABILITY OF ULTRA-FINE RDL STRUCTURES IN ADVANCED PACKAGING BY EXCIMER LASER ABLATION

FABRICATION AND RELIABILITY OF ULTRA-FINE RDL STRUCTURES IN ADVANCED PACKAGING BY EXCIMER LASER ABLATION FABRICATION AND RELIABILITY OF ULTRA-FINE RDL STRUCTURES IN ADVANCED PACKAGING BY EXCIMER LASER ABLATION NCCAVS Joint Users Group Technical Symposium San Jose, June 7 th, 2017 Markus Arendt, SÜSS MicroTec

More information

SMU 2113 ENGINEERING SCIENCE. PART 1 Introduction to Mechanics of Materials and Structures

SMU 2113 ENGINEERING SCIENCE. PART 1 Introduction to Mechanics of Materials and Structures SMU 2113 ENGINEERING SCIENCE PART 1 Introduction to Mechanics of Materials and Structures These slides are designed based on the content of these reference textbooks. OBJECTIVES To introduce basic principles

More information

Flip-Chip Process Improvements for Low Warpage

Flip-Chip Process Improvements for Low Warpage Flip-Chip Process Improvements for Low Warpage Robert L. Hubbard Lambda Technologies, Inc. Morrisville, NC, USA bhubbard@microcure.com Pierino Zappella*, Pukun Zhu Henkel Corporation Irvine, CA, USA Abstract

More information

3D Wirebondless IGBT Module for High Power Applications Dr. Ziyang GAO Jun. 20, 2014

3D Wirebondless IGBT Module for High Power Applications Dr. Ziyang GAO Jun. 20, 2014 3D Wirebondless IGBT Module for High Power Applications Dr. Ziyang GAO Jun. 20, 2014 1 1 Outline Background Information Technology Development Trend Technical Challenges ASTRI s Solutions Concluding Remarks

More information

DuPont Kapton FPC POLYIMIDE FILM

DuPont Kapton FPC POLYIMIDE FILM DuPont FPC POLYIMIDE FILM Technical Data Sheet DuPont FPC polyimide film is treated on both sides and has the same excellent balance of physical, chemical and electrical properties over a wide temperature

More information

World Academy of Science, Engineering and Technology International Journal of Electronics and Communication Engineering Vol:3, No:11, 2009

World Academy of Science, Engineering and Technology International Journal of Electronics and Communication Engineering Vol:3, No:11, 2009 International Science Index, Electronics and Communication Engineering waset.org/publication/5181 Effect of Curing Profile to Eliminate the Voids / Black Dots Formation in Underfill Epoxy for Hi-CTE Flip

More information

UV5 POSITIVE DUV PHOTORESIST For Microlithography Applications

UV5 POSITIVE DUV PHOTORESIST For Microlithography Applications UV5 POSITIVE DUV PHOTORESIST For Microlithography Applications DESCRIPTION UV5 positive DUV photoresist has been optimized to provide vertical profile imaging of isolated and semidense features for device

More information

MCC. PMGI Resists NANO PMGI RESISTS OFFER RANGE OF PRODUCTS

MCC. PMGI Resists NANO PMGI RESISTS OFFER RANGE OF PRODUCTS MCC PMGI RESISTS OFFER Sub.25µm lift-off processing Film thicknesses from 5µm Choice of resin blends for optimal undercut control High thermal stability Superior adhesion to Si, NiFe, GaAs, InP

More information

DuPont Kapton HN POLYIMIDE FILM

DuPont Kapton HN POLYIMIDE FILM DuPont Kapton HN POLYIMIDE FILM Technical Data Sheet DuPont Kapton HN general-purpose film has been used successfully in applications at temperatures as low as -269 C (-452 F) and as high as 400 C (752

More information

Cal-Chip Electronics, Incorporated Thick Film Chip Resistors - RM Series

Cal-Chip Electronics, Incorporated Thick Film Chip Resistors - RM Series Thick Film Chip Resistors - RM Series Fixed Chip Resistors manufactured for more compact electronic components and automatic mounting system. These Chip Resistors have electrical stability and mechanical

More information

3M Thermally Conductive Adhesive Tape 8940

3M Thermally Conductive Adhesive Tape 8940 Product Information Sheet 15. Oct 2004 Supersedes Version 01. Mai 2004 Product Description 3M TM Thermally Conductive Adhesive Tape 8940 is designed to provide an efficient heat transfer path between heat

More information

TAIYO THP-100DX1 USA-SP (UL Name: THP-100DX)

TAIYO THP-100DX1 USA-SP (UL Name: THP-100DX) TAIYO THP-100DX1 USA-SP (UL Name: THP-100DX) THP-100DX1 USA-SP after copper plating. THP-100DX1 USA-SP in 1 and 5 kg container. Available in a 1 and 5 kg container One-component Thermally Cured Hole Fill

More information

23 rd ASEMEP National Technical Symposium

23 rd ASEMEP National Technical Symposium THE EFFECT OF GLUE BOND LINE THICKNESS (BLT) AND FILLET HEIGHT ON INTERFACE DELAMINATION Raymund Y. Agustin Janet M. Jucar Jefferson S. Talledo Corporate Packaging & Automation/ Q&R STMicroelectronics,

More information

3D Package Technologies Review with Gap Analysis for Mobile Application Requirements. Apr 22, 2014 STATS ChipPAC Japan

3D Package Technologies Review with Gap Analysis for Mobile Application Requirements. Apr 22, 2014 STATS ChipPAC Japan 3D Package Technologies Review with Gap Analysis for Mobile Application Requirements Apr 22, 2014 STATS ChipPAC Japan T.Nishio Contents Package trends and roadmap update Advanced technology update Fine

More information

Lead-Free Solder Bump Technologies for Flip-Chip Packaging Applications

Lead-Free Solder Bump Technologies for Flip-Chip Packaging Applications Lead-Free Solder Bump Technologies for Flip-Chip Packaging Applications Zaheed S. Karim 1 and Jim Martin 2 1 Advanced Interconnect Technology Ltd. 1901 Sunley Centre, 9 Wing Yin Street, Tsuen Wan, Hong

More information

Flip-Chip Process Improvements for Low Warpage

Flip-Chip Process Improvements for Low Warpage Flip-Chip Process Improvements for Low Warpage Robert L. Hubbard Lambda Technologies, Inc. Morrisville, NC, USA bhubbard@microcure.com Pierino Zappella*, Pukun Zhu Henkel Corporation Irvine, CA, USA Abstract

More information

1 Thin-film applications to microelectronic technology

1 Thin-film applications to microelectronic technology 1 Thin-film applications to microelectronic technology 1.1 Introduction Layered thin-film structures are used in microelectronic, opto-electronic, flat panel display, and electronic packaging technologies.

More information

Advanced Low Dielectric Constant Materials Learning and Perspectives

Advanced Low Dielectric Constant Materials Learning and Perspectives Advanced Low Dielectric onstant Materials Learning and Perspectives Geraud Dubois IBM Almaden Research enter, San Jose, A Department of Materials Science and Engineering, Stanford University, A 2 4/26/2018

More information

1500 Series. EMD PeRFoRmaNce MaTeRIaLs. technical datasheet. Positive Tone Photoresists APPLICATION TYPICAL PROCESS. SPIN CURVES (150mm wafers)

1500 Series. EMD PeRFoRmaNce MaTeRIaLs. technical datasheet. Positive Tone Photoresists APPLICATION TYPICAL PROCESS. SPIN CURVES (150mm wafers) EMD PeRFoRmaNce MaTeRIaLs technical datasheet AZ 1500 Series Positive Tone Photoresists APPLICATION General purpose positive tone photoresists featuring excellent substrate adhesion for demanding wet etch

More information

IME Technical Proposal. High Density FOWLP for Mobile Applications. 22 April High Density FOWLP Consortium Forum

IME Technical Proposal. High Density FOWLP for Mobile Applications. 22 April High Density FOWLP Consortium Forum IME Technical Proposal High Density FOWLP for Mobile Applications 22 April 2014 Packaging driver for portable / mobile applications Key drivers/needs Smaller form-factor lower profile, substrate-less Higher

More information

Kevin O. Loutfy and Dr. Hideki Hirotsuru

Kevin O. Loutfy and Dr. Hideki Hirotsuru Advanced Diamond based Metal Matrix Composites for Thermal Management of RF Devices By Kevin O. Loutfy and Dr. Hideki Hirotsuru Agenda - Thermal Management Packaging Flange Materials - GaN High Power Densities

More information

DuPont MX5000 Series

DuPont MX5000 Series DuPont MX5000 Series DATA SHEET & PROCESSING INFORMATION High Performance Multi-Purpose Polymer Film for MEMS Applications PRODUCT FEATURES/ APPLICATIONS Negative working, aqueous processable dry film

More information

Lab #2 Wafer Cleaning (RCA cleaning)

Lab #2 Wafer Cleaning (RCA cleaning) Lab #2 Wafer Cleaning (RCA cleaning) RCA Cleaning System Used: Wet Bench 1, Bay1, Nanofabrication Center Chemicals Used: H 2 O : NH 4 OH : H 2 O 2 (5 : 1 : 1) H 2 O : HF (10 : 1) H 2 O : HCl : H 2 O 2

More information

PARYLENE ENGINEERING. For Longer Lasting Products

PARYLENE ENGINEERING. For Longer Lasting Products PARYLENE ENGINEERING For Longer Lasting Products PARYLENE ENGINEERING This presentation serves as a quick overview of the conformal coating material and processes currently used in the industry. The field

More information

TECHNICAL DATA SHEET 1 P a g e Revised January 9, 2014

TECHNICAL DATA SHEET 1 P a g e Revised January 9, 2014 1 P age Revised January 9, 2014 TAIYO PSR-4000 CC01SE (UL Name: PSR-4000JV / CA-40JV) LIQUID PHOTOIMAGEABLE CURTAIN COAT SOLDER MASK Curtain Coat Application Aqueous Developing Solder Mask RoHS Compliant

More information

Silicon Nitride Substrates for Power Electronics. Ulrich Voeller, Bernd Lehmeier

Silicon Nitride Substrates for Power Electronics. Ulrich Voeller, Bernd Lehmeier Silicon Nitride Substrates for Power Electronics Ulrich Voeller, Bernd Lehmeier Table of content Si 3 N 4 1 2 3 4 Material characteristics Technology - comparison AMB/DBC Interfacial structure and chemistry

More information

MCC. NANO PMMA and Copolymer

MCC. NANO PMMA and Copolymer MCC PRODUCT ATTRIBUTES NANO and Submicron linewidth control (polymethyl methacrylate) is a versatile polymeric material that is well suited Sub 0.1µm imaging for many imaging and non-imaging microelectronic

More information

Variable Frequency Microwave For Chip-On-Board Glob Top Curing

Variable Frequency Microwave For Chip-On-Board Glob Top Curing Variable Frequency Microwave For Chip-On-Board Glob Top Curing Binghua Pan (Phone: 65-458629 Fax: 65-4565422 e-mail: binghua.pan@delphiauto.com) Chih Kai Nah (Phone: 65-458629 Fax: 65-4565422 e-mail: chih.kai.nah@delphiauto.com)

More information

Low Cost Flip Chip Bumping

Low Cost Flip Chip Bumping Low Cost Flip Bumping Thomas Oppert, Thorsten Teutsch, Elke Zakel Pac Tech Packaging Technologies GmbH Am Schlangenhorst 15 17 D-14641 Nauen, Germany Phone: +49 (0)3321/4495 0 Fax: +49 (0)3321/4495 23

More information

3D-WLCSP Package Technology: Processing and Reliability Characterization

3D-WLCSP Package Technology: Processing and Reliability Characterization 3D-WLCSP Package Technology: Processing and Reliability Characterization, Paul N. Houston, Brian Lewis, Fei Xie, Ph.D., Zhaozhi Li, Ph.D.* ENGENT Inc. * Auburn University ENGENT, Inc. 2012 1 Outline Packaging

More information

Freescale Semiconductor Tape Ball Grid Array (TBGA) Overview

Freescale Semiconductor Tape Ball Grid Array (TBGA) Overview Freescale Semiconductor Tape Ball Grid Array (TBGA) Overview Revision 0 2006 Freescale and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are the

More information

Discrete Capacitor & Resistor Issues. Anthony Primavera Boston Scientific CRM 11/13/06

Discrete Capacitor & Resistor Issues. Anthony Primavera Boston Scientific CRM 11/13/06 Discrete Capacitor & Resistor Issues Anthony Primavera Boston Scientific CRM 11/13/06 Goal: Drive the Industry towards common test methods and best practices in manufacturing to reduce and or eliminate

More information

/15/$ IEEE Electronic Components & Technology Conference

/15/$ IEEE Electronic Components & Technology Conference Demonstration of 2µm RDL Wiring Using Dry Film Photoresists and 5µm RDL Via by Projection Lithography for Low-cost 2.5D Panel-based Glass and Organic Interposers Ryuta Furuya*, Hao Lu**, Fuhan Liu**, Hai

More information

A Cofired Bump Bonding Technique for Chip Scale Package Fabrication Using Zero X-Y Shrinkage Low Temperature Cofired Ceramic Substrate

A Cofired Bump Bonding Technique for Chip Scale Package Fabrication Using Zero X-Y Shrinkage Low Temperature Cofired Ceramic Substrate A Cofired Bump Bonding Technique for Chip Scale Package Fabrication Using Zero X-Y Shrinkage Low Temperature Cofired Ceramic Substrate Minehiro Itagaki, Nobuhiro Hase, Satoru Yuhaku, Yoshihiro Bessho and

More information

Hybrid BARC approaches for FEOL and BEOL integration

Hybrid BARC approaches for FEOL and BEOL integration Hybrid BARC approaches for FEOL and BEOL integration Willie Perez a, Stephen Turner a, Nick Brakensiek a, Lynne Mills b, Larry Wilson b, Paul Popa b a Brewer Science, Inc., 241 Brewer Dr., Rolla, MO 6541

More information

UV6 POSITIVE DUV PHOTORESIST For DUV Applications

UV6 POSITIVE DUV PHOTORESIST For DUV Applications UV6 POSITIVE DUV PHOTORESIST For DUV Applications DESCRIPTION UV6 Positive DUV Photoresist has been optimized to provide vertical profile imaging of dense and semi-isolated features for device production

More information

Positive Photoresists

Positive Photoresists Positive Photoresists Gesellschaft für chemische Materialien spezieller Photoresistsysteme mbh Positive Photoresists map 1200 series Thick resists map 1275, map 1275 HV Unique features of the positive

More information

HBLED packaging is becoming one of the new, high

HBLED packaging is becoming one of the new, high Ag plating in HBLED packaging improves reflectivity and lowers costs JONATHAN HARRIS, President, CMC Laboratories, Inc., Tempe, AZ Various types of Ag plating technology along with the advantages and limitations

More information

Hitachi Anisotropic Conductive Film ANISOLM AC-7106U

Hitachi Anisotropic Conductive Film ANISOLM AC-7106U HITACHI CHEMICAL DATA SHEET Hitachi Anisotropic Conductive Film ANISOLM AC-7106U 1. Standard Specification, Bonding and Storage Conditions, Reparability, and Characteristics... 1 Page 2. Precautions in

More information

SLID bonding for thermal interfaces. Thermal performance. Technology for a better society

SLID bonding for thermal interfaces. Thermal performance. Technology for a better society SLID bonding for thermal interfaces Thermal performance Outline Background and motivation The HTPEP project Solid-Liquid Inter-Diffusion (SLID) Au-Sn SLID Cu-Sn SLID Reliability and bond integrity Alternative

More information

Selection and Application of Board Level Underfill Materials

Selection and Application of Board Level Underfill Materials Selection and Application of Board Level Underfill Materials Developed by the Underfill Materials Design, Selection and Process Task Group (5-24f) of the Assembly and Joining Committee (5-20) of IPC Supersedes:

More information

Challenges for Embedded Device Technologies for Package Level Integration

Challenges for Embedded Device Technologies for Package Level Integration Challenges for Embedded Device Technologies for Package Level Integration Kevin Cannon, Steve Riches Tribus-D Ltd Guangbin Dou, Andrew Holmes Imperial College London Embedded Die Technology IMAPS-UK/NMI

More information

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials Interconnects Outline Interconnect scaling issues Aluminum technology Copper technology 1 Properties of Interconnect Materials Metals Silicides Barriers Material Thin film Melting resistivity point ( C)

More information

c/bach, 2-B Pol. Ind Foinvasa Montcada i Reixac (Barcelona) SPAIN Tel FAX

c/bach, 2-B Pol. Ind Foinvasa Montcada i Reixac (Barcelona) SPAIN Tel FAX 1- What is 2- How does it work? 3- How do we make it? 4- Applications 5- Processing? WHAT IS? Thick aluminium based substrate, cladded in ED copper foil. Designed for an effective thermal dissipation and

More information

Conductive Hole Plugging Product

Conductive Hole Plugging Product TAIYO SCHP-7901 Board Thickness = 62mils Board Thickness = 62mils Hole Diameter = 8 mils Hole Diameter = 14 mils Silver Conductive hole fill material Single-Component this is Thermally Cured Fills holes

More information