Spectroscopic Ellipsometry Film Metrology Braces for 45nm and Beyond

Size: px
Start display at page:

Download "Spectroscopic Ellipsometry Film Metrology Braces for 45nm and Beyond"

Transcription

1 Spectroscopic Ellipsometry Film Metrology Braces for 45nm and Beyond Arun R. Srivatsa - KLA-Tencor Corporation Spectroscopic Ellipsometry (SE) is a key technology for production monitoring of films in today s fabs. Advancements in spectral fidelity, use of shorter wavelengths, and many other improvements enable SE technology to measure thickness and refractive index, but also material composition in many films, including nitrided oxides, boron-doped silicon germanium (SiGe:B) and high-k materials at the 65nm and 45nm nodes. With the advent of new materials and structures at the 65 and 45nm nodes, demands on thin film metrology are increasing in complexity while metrology budgets get tighter. In several key processes, it is no longer sufficient to monitor just thickness and refractive index for process control. One must measure or infer composition, porosity, and other parameters for effective process control. Using the systematic variation of optical properties with these parameters, recent advances in the application of spectroscopic ellipsometry (SE) have led to the successful adoption of this technique in R&D and production for monitoring composition in varied materials like high-k gate dielectrics, nitrided gate oxide and boron-doped silicon germanium (SiGe:B). There are important process control SiOC C-SiO 2 SiON Ultra-Low-K TiN W HfO 2 Ru HfO 2 PSG Cu AL 2 O 3 ALD-Barrier Pd Al 2 O 3 SiO x Ta/TaN SiO 2 ALD-Seed Laminated Material Al 2 O 3 +HfO 2 Si3N4 SiO 2 SiO 2 SOI Substrate Si-Epi Isolation SiGe Transistor Capacitor Interconnect Electroless Cu BST Engineered Material Ni HDP-O x SiOxNy Al W Ti WSi x Co Ti TiN Engineered Material WSix TiSi x BPSG Figure 1: Many new and highly complex materials are being introduced at a faster rate compared with previous technology nodes. challenges and requirements for handling new materials and complex structures, and new applications data and potential solutions using optical thin film metrology will be discussed. Multiple-Front Challenges There is almost universal agreement that at the 65nm and 45nm nodes, films metrology is getting more complex and intensive (Figure 1). Along with the usual tightening of process windows and metrology budgets (a general rule of thumb is that the total films metrology budget should be <10% of the process budget), this is driven by two other factors: the introduction of many new materials and innovative structures 1-5 in both the front end and the back end, and the migration of metrology from proxy measurements of films on monitor wafers to measurements on product wafers. At the front end, many new materials introduce new challenges for metrology and process control. The challenges begin with the gradual migration from Si to silicon-on-insulator (SOI) substrates. These changes generate new requirements: SOI substrates require monitoring of the thickness and uniformity of the thin superficial silicon layer and buried oxide. The use of SOI substrates also makes it much more difficult to measure gate dielectrics and multilayer structures. The fact that superficial Si is transparent at HeNe wavelength (633nm) makes this a multiparameter measurement (simultaneously measuring gate oxide, superficial Si, and buried oxide), which is impossible with standard fixed-angle, single-wavelength ellipsometry (SWE). Multiple approaches are being pursued to introduce strain in the Si channel. These include the use of SiGe:B (need to monitor Ge, B and SiGe:B thickness) in source/drain areas to compressively stress the channel, and the use of highly stressed nitride layers (monitor stress) to introduce tensile or compressive stress in the channel. The process control requirements Summer 2007 Yield Management Solutions 19

2 and methods vary depending on the path used. Gate oxide dielectrics are becoming thinner and more heavily nitrided, requiring control of both thickness and nitrogen in the oxide. High-k gate dielectrics will most likely be first introduced at the 45nm node. Candidate materials like HfSiO x require the monitoring of multiple elements/compositions simultaneously for effective process control. Along with the difficulty of monitoring more variables, the allowed variation for each of these variables typically gets tighter, since the error bars from these parameters can add up to consume the total metrology budget. There are additional challenges associated with high-k metrology, including monitoring the metal gate electrodes and the interfacial layer between the high-k dielectric and silicon. In the front end, bilayer and nanolaminate-based high-k material stacks for capacitors are also being introduced. While there are many more challenges arising in the front end, the introduction of low-k materials and copper also brings significant challenges to the back end. The use of low-k C-doped oxides (CDO) with the associated barrier and etch stop layers demands tighter metrology control with more complex stacks. Porous low-k dielectrics add complexity since, while it appears at this time that pore size and pore distribution are parameters that may not be required for production monitoring, an estimate of porosity and/or dielectric constant is required for production control. The trend toward product wafer metrology is driven largely by a desire to eliminate monitor wafers, especially at 300mm. In some instances, in-die measurements are required for process control due to a lack of correlation between variations in the die and larger features in the scribe lanes. 6 Product wafer measurements are usually done on large pads in the scribe lane. As geometries shrink, many critical processes are impacted. In shallow trench isolation (STI), for example, there is a marked lack of correlation between CMP rates on pads in the scribe lane and CMP rates in the die. For process control in STI, in-die measurements of oxide and nitride film stacks are required. Solving Film Metrology Problems Optical thin film metrology, largely based on SE, is used extensively for process control throughout the fab. SE is a rapid, nondestructive technique used for both monitor and product wafer measurements. The SE technique comprises two key ingredients: hardware with good spectral fidelity to extract information from the films and applications expertise to create viable solutions using the spectral information and algorithms tools. Recent advances on both fronts have led to viable SEbased solutions for applications like compositional monitoring of complex films in both R&D and production environments. The primary improvements from a hardware perspective are improved optics design, leading to better spectral fidelity, and extension of SE to DUV wavelengths (down to 150nm). Combined, these two factors are important because the extension to DUV wavelengths enables the extraction of more information from the thin dielectric films that have more absorption at these wavelengths, while spectral fidelity gives better resolution and minimizes the metrology error bars, helping to satisfy increasingly stringent requirements. The quality of spectral fidelity can easily be determined by evaluating the spectral errors (differences between measured spectra and theoretical spectra) from a thin oxide film. As an example using KLA-Tencor s tools, examine the spectral quality of two generations of production SE systems (Figure 2). The errors Residual spectral errors SEα 0.03 ASET-F5x SEα 0.03 SpectraFx Error-SE1 Error-SE2 Error-SE3 Error-SE4 Error-SE SEβ - SEβ Figure 2: Residual spectral errors are close to zero across all wavelengths, and the residual error signature is repeatable in newer generations of SE systems. Summer 2007 Yield Management Solutions 20

3 are plotted on the same scale in both sets of graphs. It is seen that the residual errors on the newer SE systems are considerably smaller across all the wavelengths and close to zero. The magnitude of the errors on these production tools was found to be comparable to that of errors from a research-grade system using a similar test. Equally as important, it is seen that the signa-ture of the remaining small residual errors on the latest SE tools is virtually identical from one system to another. From a spectral standpoint, the measurement hardware is intrinsically matched. High spectral fidelity and system-system spectral matching are key factors for meeting the extremely tight requirements on the most challenging film applications. Any discussion of optical monitoring of thin gate dielectric films must address the issue of airborne molecular contamination (AMC). Detailed discussions are available for those %SiO 2 (SE) %SiO 2 (SE) %N (SE) a) b) 50%SiO 2 25%SiO 2 8%N SE vs XPS %SiO 2 in HfSiO x %SiO 2 (XPS) %SiO 2 %SiO 2 (XPS) %N %N (XPS) y = x R 2 = y = x R 2 = y = x R 2 = Figure 3: (a) Tracking composition in HfSiO x films with SE; (b) Simultaneous determination of two compositions in HfSiON films with SE. interested in pursuing the matter in more detail. 6 Using ellipsometric techniques and a desorber to address AMC, a viable production-proven solution has been formulated for monitoring the thickness and nitrogen concentration (%N) in thin SiON gate dielectrics. The solution has repeatedly demonstrated good correlation between the measured SE parameter and %N baseline data across wide DoE. This type of optical solution is currently implemented successfully at several fabs worldwide. 7 High-k Gate Optical Metrology Candidate materials are largely Hf-based oxides or silicates and include HfO 2, HfSiO x and HfSiO x. With these materials, there is typically an interfacial layer about 5 10Å thick between the 20-40Å high-k dielectric and silicon. The interlayer has a lower dielectric constant than the bulk high-k material. Process control schemes typically rely on thickness and composition monitoring of bulk high k dielectric, coupled with electrical monitoring of the interface between the high-k dielectric and silicon. These high-k materials optical properties vary systematically with composition. At lower wavelengths, especially in DUV down to 150nm, there is increased sensitivity to these materials due to increased absorption. Using this information, and by leveraging recent advances in the hardware, algorithms and applications methodologies, SE can simultaneously monitor two compositional parameters. Figure 3 shows examples of optical measurements of composition in high-k films in a development fab. Figure 3a shows results across an HfSiO x DoE. In this case, SE was used to map and output %SiO 2 in the HfSiO x films. A wide range of compositions, nearly 50% SiO 2 variation in the HfSiO x, were sampled across a DoE with multiple wafers. X-ray photoelectron spectroscopy (XPS) was used as the reference technique. Measurements at 21 sites were carried out across each wafer (from center to edge) in the DoE using both XPS and SE. DUV wavelengths down to 150nm were used to build up the optical models. The results show a strong correlation between the SE output for composition and the XPS baseline across the DoE and within each wafer in the DoE. For the HfSiO x films (Figure 3b), a recently developed algorithmic model was used to simultaneously compute both %SiO 2 and %N in the film. As with the HfSiO x films, 21 site measurements were carried out across each wafer in the DoE to verify capability to track compositional variation within each wafer across the wide range of compositions in the DoE. Again, there is good correlation with the baseline across the wide range of compositions sampled in the DoE. Monitoring Bilayer Structures As with the high-k materials, there is a systematic variation in optical properties of SiGe with increasing Ge concentration. The presence of boron (B) at high dopant concentrations has a secondary effect on the optical properties. Using a DoE with relatively constant B concentration (with some variation) and a systematic variation in Ge concentration, an SE-based optical solution was formulated to measure both single-layer SiGe:B Summer 2007 Yield Management Solutions 21

4 and bilayer Si-cap/SiGe:B/Si structures using the same recipe. The SiGe:B and Si-cap layers thicknesses were simultaneously measured along with Ge concentration in the SiGe:B layer. Here, X-ray diffraction (XRD) and secondary ion mass spectrometry (SIMS) were used as baseline techniques. As with the other applications described earlier, excellent correlation was achieved between the optical measurement of Ge concentration and the baseline techniques. The ability to track multiple parameters simultaneously in a production environment can be seen from the results in Figure 4. Results from a four-wafer DoE with roughly similar SiGe: B and Si-cap thicknesses, but varying Ge concentration, are plotted. Measurements were carried out from the center to the edge of the wafer using a standard nine-site Prometrix pattern. The nominal thickness of the SiGe:B layer was in excess of 1000Å, with a thin Si cap layer. Within the nine-site pattern, the signature of the reactor was reproduced for the SiGe:B and Si-cap thicknesses at varying Ge concentrations. The data from three tools in a production environment also show that the results for the different parameters are well matched. Such tool-tool matching is possible because of the spectral fidelity described earlier. Ultra-thin ONO Film Stack Metrology Thin oxide/nitride/oxide (ONO) film stacks are used in both DRAM and Flash memory stacks. At the 90nm node, the target for the nitride thickness of floating-gate Flash is around 50Å (and may be as low as 30Å for 65nm). This is a challenging measurement due to extremely high correlation demands between the top and bottom oxide layers. The extent of the correlation is driven by the thickness of the nitride layer separating the two oxides, since correlation increases significantly as the nitride gets thinner. Because the nitride film has increased absorption characteristics at shorter wavelengths, use of shorter wavelengths increases the contrast between the top and bottom oxides. To enable these measurements, SE technology must be extended down to DUV wavelengths (190nm) for ONOs with the nitride at 50Å, and down to VUV (150nm) for ONOs with nitrides down to 30Å. The capability of both 190SE and 150SE systems to accurately track the introduced process changes was monitored. It is seen that both the systems accurately track the nitride thickness. The 190SE system shows a flat response for the top and bottom oxide thickness down to a nitride thickness of 50Å, but begins to show deviations and correlations between the oxides when the nitride thickness is lower. The 150SE system, on the other hand, shows a flat response for the top and bottom oxide thicknesses for the entire DoE, per the design. So for thin ONO stacks with the nitride thickness below 50Å, 150SE capability is recommended to monitor the process. Multilayer, Multiparameter Measurements Table 1 (next page) shows an example of the type of measurements achieved using advanced systems with high spectral fidelity and robust algorithms. In this measurement of a six-layer, low-k BEOL film stack, a seven-wafer DoE was carried out to evaluate the measurement s robustness in correctly predicting the introduced changes with a single recipe. Sixteen parameters were measured simultaneously: thickness, n, and k for all the layers except the top oxide layer, where only the thickness was measured. The refractive index was not measured for the oxide layer on top since this is usually well controlled. It is seen that with a single recipe the various changes simultaneously introduced in this seven-wafer DoE can be correctly predicted. The circles in different colors outline missing layers, double-deposited layers, half-deposited layers, and layers with a random variation in thickness. The migration of metrology from monitor wafers to product wafers is being accelerated with the introduction of 300mm wafers. On monitor wafers, it is easier to keep the metrology simpler and monitor individual films or processes. Product wafers call for the added requirement to monitor the same films and processes in multilayered stacks. Metrology requirements for individual films and processes are unchanged, though the Nom + 40Å wfr1 Nom Nom - 40Å Nom + 150Å Nom wfr1 Nom - 150Å Multiple parameter tracking %Ge in the SiGe:B layer in Si-cap/SiGe:B/Si 10%range Si-cap thickness in Si-cap/SiGe:B/Si wfr2 wfr2 wfr3 wfr3 SiGe:B thickness in Si-cap/SiGe:B/Si wfr4 wfr4 wfr1 wfr2 wfr3 wfr4 Figure 4: Simultaneous measurement of thickness of Si-cap and thickness and composition of SiGe:B layer across Ge concentration DoE with SE. Good tool-tool matching in a production environment is especially important. %Ge Si-cap thickness (Å) SiGe:B thickness (Å) Summer 2007 Yield Management Solutions 22

5 measurement is more complicated since more parameters must be simultaneously measured in a film stack. Spectral fidelity and tool-tool spectral matching become more critical for multilayered films. The above example for the measurement of multiple parameters in a six-layer stack illustrates the evolution of this capability. It must be noted, though, that in typical production environments one does not measure so many parameters simultaneously. SE into the Future SE continues to be the technology of choice for production monitoring of films in today s fabs. The continual advancements in spectral fidelity, extension of SE to lower wavelengths, and improvements in hardware, algorithms, and applications capabilities are enabling the use of SE technology to report additional parameters like compositions in very thin to thick films, potentially satisfying the increasingly complex metrology requirements at the 65 and 45nm nodes. Optical film metrology solutions based on SE are currently being adopted to monitor composition in several complex processes involving nitrided oxides and SiGe:B, and in the development of high-k materials. Recent technology advances on multiple fronts are also facilitating the accelerated migration to product wafer metrology and multiparameter, multilayer measurements throughout the fab. With these continued advances, SE-based films metrology could continue to be the workhorse technology for production metrology at 45nm and beyond. Acknowledgements The author wishes to thank several colleagues for detailed technical discussions on several metrology topics and for making available many of the figures used. They include Arun Chatterjee, Torsten Kaack, Zhengquan Tan, Sungchul Yoo and Shankar Krishnan from KLA-Tencor; and Simona Spadoni, Rosella Piage and Davide Lodi from ST Microelectronics. Note: This article was originally published in Semiconductor International magazine, December References 1. International Technology Roadmap for Semiconductors, 2. Y.-C Yeo, Q. Lu, T.-J King, C. Hu, T. Kawashima, M. Oishi, S. Mashiro and J. Sakai, Proc of the International Electron Devices Meeting (IEDM), p. 753, H. van Meer and Kristin De Meyer, 2002 Symp. on VLSI Technology, Digest of Technical Papers, p H.S.P. Wong, IBM Journal of Research and Development, V46, N2/3, David Lammers, EE Times, 4/4/ Arun R. Srivatsa, Yield Management Solutions, Winter 2005, p Sungchul Yoo, Zhiming Jiang, Eric Wang and Zhengquan Tan, YMS Seminar, Semicon West, San Francisco, July Seven-wafer DoE of six-layer low-k stack Wafer 1 Wafer 2 Wafer 3 Wafer 4 Wafer 5 Wafer 6 Wafer 7 Ox SiC(2) Low-K SiC(1) Low-K SiN Table 1: Robustness of measurement of this six-layer low-k stack across a seven-wafer DoE was tested by randomly introducing missing layers, double-deposited layers, half-deposited layers and other variations in the film stack. Summer 2007 Yield Management Solutions 23