Lab2 Scan Chain Insertion and ATPG Using DFTADVISOR and FASTSCAN. Pro: Chia-Tso Chao TA: Yu-Teng Nien

Similar documents
Lab2 Scan Chain Insertion and ATPG Using DFTADVISOR and FASTSCAN. Pro:Chia-Tso Chao TA:Szu-Pang Mu Chien Hsueh Lin 2015/05/26

13. Back-End Design Flow for HardCopy Series Devices

Section II. HardCopy Design Center Migration Process

ADVANCED VLSI COURSE IN PHYSICAL DESIGN

Digital Design Methodology (Revisited)

5. Quartus II Support for HardCopy Stratix Devices

Digital Testing. Lecture 8: Testability Measures

Tutorial for Verilog Synthesis Lab (Part 2)

FORMAL PROPERTY VERIFICATION OF COUNTER FSM AND I2C

Frontend flow. Backend flow

8. Description, Architecture, and Features

11. Timing Closure in HardCopy Devices

FPGA Programming. Tutorial. Wojciech Jalmuzna Technical Univeristy of Lodz

Overview. Design flow. Back-end process. FPGA design process. Conclusions

Rethinking SoC Verification Enabling Next-Generation Productivity & Performance

ECE 545 Lecture 10. FPGA Design Flow. George Mason University

1. Explain the architecture and technology used within FPGAs. 2. Compare FPGAs with alternative devices. 3. Use FPGA design tools.

Philip Simpson. FPGA Design. Best Practices for Team-based Design

Testability Analysis

Mentor Graphics Higher Education Program

HX5000 Design Flow and Infrastructure. Honeywell and Synopsys Enable Next Generation Rad-Hard ASICs

4. Back-End Timing Closure for HardCopy Series Devices

VHDL Introduction. EL 310 Erkay Savaş Sabancı University

SCOAP circuit topological analysis CC0 CC1 SC0 SC1

ECE 699: Lecture 2. ZYNQ Design Flow


Physical Level Design using Synopsys

Expanding the Reach of Formal. Oz Levia November 19, 2013

L-3 Fuzing & Ordnance Systems 59 th Annual Fuze Conference May 5, 2016

Design and Implementation of Genetic Algorithm as a Stimulus Generator for Memory Verification

ECE 448 Lecture 9. FPGA Design Flow. George Mason University

Lecture 8 Testability Measures

Digital System Design

Digital VLSI Design. Lecture 1: Introduction

HardCopy II Device Handbook, Volume 2

Accelerating Xilinx All Programmable FPGA and SoC Design Verification with Blue Pearl Software

Tutorial for the Semi Custom Part of the Image Processing System

High Level Synthesis with Catapult 8.0. Richard Langridge European AE Manager 21 st January 2015

Saber Automotive Overview

IC Compiler Comprehensive Place and Route System

Tutorial 2A. Practical Exercise: Get Your FPGA Application Up and Running

Kun Young Chung Design Technology Team System LSI Samsung Electronics

Sharif University of Technology Introduction to ASICs

Mentor Safe IC ISO & IEC Functional Safety

GTC Using GPUs to Speedup Chip Verification. Tomer Ben-David, VP R&D

AN 453: HardCopy II ASIC Fitting Techniques

Power-Aware Test Generation with Guaranteed Launch Safety for At-Speed Scan Testing

Static Timing Analysis Techniques for FPGAs LATTICE SEMICONDUCTOR CORPORATION 2006

THE COVERAGE CHALLENGE INDUSTRY COVERAGE TRENDS

Digital Design Methodology

White Paper TimeQuest Timing Analyzer: Native SDC Support for Timing Analysis of FPGA-Based Designs

PrimeTime Mode Merging

Design For Test Methodology Applied Across Design and Support Cycles

Implementing a control application on an FPGA Platform

Design Problem 3 Solutions

Xcelium Parallel Logic Simulation

Steve Chin, Stretch, Inc.

AccuCell. Simucad Sales Training INTERNAL USE ONLY NOT FOR CUSTOMERS

Technological Training Programs

Combining OpenCV and High Level Synthesis to Accelerate your FPGA / SoC EV Application

DIGITAL SYSTEM DESIGN LAB. L T P CLASS WORK : EXAM :25 TOTAL :50 DURATION OF EXAM :3 Hrs LIST OF EXPERIMENTS

On-Chip Debug Reducing Overall ASIC Development Schedule Risk by Eric Rentschler, Chief Validation Scientist, Mentor Graphics

The LCD(M) Specialist

Designing for Reuse of Configurable Logic

Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A. Muñoz Alcazar.

Cost and Benefit Models for Logic and Memory BIST

Xpedition Package Integrator

Using Coverage to Deploy Formal in a Simulation World

Key features in Xpedition Package Integrator include:

5. Quartus II Support for HardCopy II Devices

Implementation of FSM Based Automatic Dispense Machine with Expiry Date Feature Using VHDL

ABB Ability System 800xA Engineering Overview ABB

利用交互调试和自动优化技术提高 RTL 设计功耗效率 ANSYS 2015

Key Electronic Products Driving Notable DFT Methodologies

Brief Summary of Last Lecture. Model checking of timed automata: general approach

Research Article Modified 16-b Square-root Low Power Area Efficient Carry Select Adder

System-level Co-simulation of Integrated Avionics Using Polychrony durch Klicken bearbeiten

IP qualification of reusable designs

Nangate 45nm Open Cell Library. Jesper Knudsen VP Marketing

MAXIMIZE POWER AND EFFICIENCY WITH PADS PLACEMENT AND ROUTING JIM MARTENS, MENTOR GRAPHICS

Compliance driven Integrated circuit development based on ISO26262

HAVE A PLAN! Slide Set Overview. Special Topics in Advanced Digital System Design. Design Methodologies. Design Considerations: Techy Terms

Introduction to Verification and Test of Embedded Systems SE767: Vérification & Test

IC Validator. Overview. High-performance DRC/LVS physical verification substantially reduces time-to-results. Benefits. synopsys.

Application of DO-254 Level A (Appendix B) Design Assurance Objectives of. Elemental Analysis. Mixed Signal (Analog/Digital) Discrete Circuitry

Modeling and Design Challenges for Multi-Core Power Supply Noise Analysis 2009 DAC User Track

Hot Chips-18. Design of a Reusable 1GHz, Superscalar ARM Processor

EUROPRACTICE IMEC ASIC SERVICES. ESA : 15th Feb Danny Lambrichts

Software Safety and Certification

Diagnosis of Multiple-Voltage Design with Bridge Defect

Vivado Design Suite User Guide

Hazard Analysis of Software Requirements Specification for Process Module of FPGA-based Controllers in NPP

MULTIPOINT EARTH LEAKAGE RELAY CBS-8 SERIES USER S MANUAL

Building Flexible Project Plans with Microsoft Project 2010

Digital Integrated Circuits

RecruitPlus. Bringing new wave of Automation to Recruitment process. RecruitPlus Resume Parser. ITCONS e Solutions Pvt. Ltd.

FUSE. Best Practice Training Materials FPGA

Managing Functional Verification Projects

Transcription:

Lab2 Scan Chain Insertion and ATPG Using DFTADVISOR and FASTSCAN Pro: Chia-Tso Chao TA: Yu-Teng Nien 2017-05-14

Outline Introduction Dftadvisor Fastscan Mix Flow Lab 2

Outline Introduction DFTADVISOR FASTSCAN Mix Flow Lab 3

Introduction This lab focuses on ATPG results from different tools: Mentor Graphic and Synopsys. Dftadvisor is used to insert scan chain (basically replace FF with scan FF). Fastscan is used to do ATPG and fault simulation. 4

Insert Scan and ATPG Flow 5

Input/Output Files Simulation Library adk.atpg Dftadvisor Scanned Circuit design_scan.v Fastscan Circuit Netlist design.v Scan Chain Information design_scan.dofile design_scan.testproc Simulation Testbenches ATE Vectors Fault Reports 6

Outline Introduction DFTADVISOR FASTSCAN Mix Flow Lab 7

Invoke DFTADVISOR Read in Verilog source file and assign library file. $ dftadvisor pre_norm_noscan.v -verilog -lib l90sprvt.atpg -nogui 8

Specify Clock Clocks are primary input signals that asynchronously change the state of sequential logic elements. SETUP> add_clocks 0 clk specify state which cannot affect output 9

Setup Test Logic Configuration Set scan methodology. Mux_scan : mux-dff Lssd : level sensitive DFF Clocked_scan : clocked-signal SETUP> set_scan_type m Test logic options-- make clock lines controllable to get a scannable design. SETUP> set_test_logic -clock on -reset on Non-scannable Scannable 10

Scan Insertion Enter DFT mode. SETUP> set_system_mode dft Perform scan. DFT> run Report detailed statistics report. DFT> report_statistics 11

DRC Rules General Rules Procedure Rules Scan Chain Trace Rules Scan Cell Data Rules Clock Rules Ram Rules BIST Rules EDT Rules Timing Rules 12

Insert Scan Chain and View Report Set # of scan-chains to insert and do so. DFT> insert_test_logic -number 10 Report scan-chain information. DFT> report_scan_chains DFT> report_test_logic 13

Output Scanned Design for ATPG Write out files and exit DFTADVISOR DFT> write netlist pre_norm_scan.v -verilog -replace DFT> write_atpg_setup pre_norm_scan -replace DFT> exit.dofile : setup information.testproc : procedure file 14

Outline Introduction DFTADVISOR FASTSCAN Mix Flow Lab 15

Invoke Fastscan specify scannable Verilog file and library file. $ fastscan pre_norm_scan.v -verilog -lib l90sprvt.atpg -nogui 16

Read Setup Information Read setup information from Dftadvisor. SETUP> dofile pre_norm_scan.dofile Enter atpg mode. SETUP> set_system_mode atpg Setup fault type: stuck, IDDQ, toggle, transition. ATPG> set_fault_type stuck 17

Generate Patterns Uses '-auto' option to Analyze the design. Suggest the best settings possible to generate the most compact patterns with the highest coverage within the lowest time. ATPG> create_patterns -auto 18

Generate Patterns Without auto option, you can specify your own configurations using these commands : set_atpg_limits -cpu_seconds [integer] -test_coverage [real] -pattern_count [integer] set_atpg_compression on abort_limit [integer] identify_redundant_faults ATPG> create_patterns 19

View Report Report simulation result and faults. ATPG> report statistics ATPG> report faults -all 20

Result(1/2) // Simulation performed for #gates = 27550 #faults = 110115 // system mode = ATPG pattern source = internal patterns // ------------------------------------------------------------------------ // #patterns test #faults #faults # eff. # test process RE/AU/abort // simulated coverage in list detected patterns patterns CPU time // deterministic ATPG invoked with comb/seq abort limit = 300/100 // --- ------ --- --- --- --- 0.10 sec 224/0/0 // 32 82.90% 20861 89030 32 32 0.15 sec // --- ------ --- --- --- --- 0.25 sec 1423/0/0 // 64 91.30% 10501 9161 32 64 0.26 sec // --- ------ --- --- --- --- 0.40 sec 2342/0/0 // 96 95.67% 5187 4395 32 96 0.41 sec // --- ------ --- --- --- --- 0.59 sec 3192/0/0 // 128 98.43% 1887 2450 32 128 0.60 sec // --- ------ --- --- --- --- 0.67 sec 3609/0/0 // 160 99.22% 954 516 10 138 0.68 sec // --- ------ --- --- --- --- 0.68 sec 3609/0/0 // 192 99.69% 357 597 32 170 0.69 sec // --- ------ --- --- --- --- 0.69 sec 3609/0/0 // 224 99.98% 24 333 32 202 0.70 sec // --- ------ --- --- --- --- 0.70 sec 3609/0/0 // 256 100.00% 0 24 3 205 0.70 sec 21

Result(2/2) Statistics report ------------------------------------------- Fault Classes #faults (total) ---------------------- -------------- FU (full) 6078 -------------------- -------------- UO (unobserved) 9 ( 0.15%) DS (det_simulation) 5393 (88.73%) DI (det_implication) 540 ( 8.88%) UU (unused) 16 ( 0.26%) RE (redundant) 118 ( 1.94%) AU (atpg_untestable) 2 ( 0.03%) -------------------------------------- Coverage -------------------- test_coverage 99.81% fault_coverage 97.61% atpg_effectiveness 99.85% -------------------------------------- #test_patterns 180 #simulated_patterns 224 CPU_time (secs) 3.7 -------------------------------------- 22

Fault Types(1/3) AU : Atpg_untestable Due to pin constraint or insufficient sequential depth cause testable faults become atpg_untestable FU : Full TE : Testable DT : Detected 23

Fault Types(2/3) UT: Untestable Faults which no pattern can exist to either detect or possible-detect them, such as unused pins UD : Undetected Faults cannot be proven untestable or ATPG_untestable RE : Redundant 24

Fault Types(3/3) UU: usused All faults unconnected to any circuit observation point BL: blocked Faults which logic blocks all paths to an observation point TI:tied Point of the fault value is always same (and-gate with complementary inputs) 25

Test Coverage Formula Comparation Tmax possible detected default 50% DT ( PT *posdet_credit ) test _ coverage all _ faults ( UD AU *au_credit ) Fastscan test_cover age DT ( PT * posdet_credit ) *100 testable default 50% default 0 fault_cove rage DT ( PT * posdet_credit ) full *100 ATPG_effec tiveness DT UT AU PU ( PT full * posdet_credit ) *100 Testable=DT+PT+AU+UD Untestable=UU+TI+BL+RE 26

Save Patterns Save patterns just generated. The response of ATE saved in s38584_seq_ate.pat Various format including binwgl, ctl2005, stil2005, stil999, Verilog, VHDL, wgl, zycad, tstl2, utic. ATPG> save patterns pre_norm_scan.pat -verilog proc replace ATPG> save patterns pre_norm_scan_tstl2.pat -TSTL2 rep ATPG> exit Toshiba Standard Tester Interface Language 2 27

Outline Introduction DFTADVISOR FASTSCAN Mix Flow Lab 28

Testing Flow Synopsys Design Compiler is better in mapping from RTL code to gate-level circuit. Some cases in industry field use Design Compiler to synthesize RTL code and use Fastscan to perform ATPG and fault simulation. 29

Input/Output Files Simulation Library tsm18.v libcomp DC Scanned Circuit design_scan.v Fastscan Circuit Netlist design.v Scan Chain Information design_scan.stil stil2mgc Simulation Testbenches ATE Vectors Fault Reports 30

Input Files Required from Design Compiler s Flow Library file needs to be converted. From.v to.atpg The detail information about scanned circuit need to be converted. From.stil to.dofile Scanned code. 31

Convert STIL File Using command 'stil2mgc' to convert STIL file into dofile and test procedure file for Fastscan. $ stil2mgc pre_norm_scan.stil It will generate pre_norm_scan.stil.do and pre_norm_scan.stil.proc 32

Performing ATPG using FASTSCAN Read scanned circuit and library from design compiler to perform ATPG. $ fastscan pre_norm_scan.v -verilog -lib l90sprvt.atpg -nogui SETUP> dofile pre_norm_scan.stil.do SETUP> set_system_mode atpg ATPG> create_patterns -auto ATPG> report_statistics 33

Outline Introduction DFTADVISOR FASTSCAN Mix Flow Lab 34

Lab Goal Compare test coverage, number of patterns and run time during ATPG using flows in DC+TMAX and DFTA+FS and DC+FS. You need to run in circuits pre_norm.v, and show the results like next slide. 35

Result Total Faults Test Coverage # of Patterns Run time DC + TMAX 71298 100% 138 0.83s DFTA + FS 12207 2 100% 201 0.66s DC + FS 75208 100% 203 0.51s 36

References Mentor Graphic User Guide Synopsys TetraMax User Guide 37