Performance Predictions for Scaled Process-induced Strained-Si CMOS

Similar documents
CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

Process Integration. MEMS Release Techniques Sacrificial Layer Removal Substrate Undercut

Process Integration. NMOS Generic NMOS Process Flow. CMOS - The MOSIS Process Flow

Strain Engineering for Performance Enhancement in Advanced Nano Scaled SOI-MOSFETs

Design Consideration and Effect of Parameter Variation on sub-40nm Bulk MOSFET using TCAD Tool

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1

MOS Front-End. Field effect transistor

Problem 1 Lab Questions ( 20 points total)

ECE520 VLSI Design. Lecture 7: CMOS Manufacturing Process. Payman Zarkesh-Ha

Complementary Metal Oxide Semiconductor (CMOS)

EE 143 FINAL EXAM NAME C. Nguyen May 10, Signature:

Chapter 4 : ULSI Process Integration (0.18 m CMOS Process)

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process

Mark T. Bohr Intel Senior Fellow, Technology and Manufacturing Group Director, Process Architecture and Integration INTEL CORPORATION

ECE321 Electronics I

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

VLSI Systems and Computer Architecture Lab

Review of CMOS Processing Technology

KEYWORDS: MOSFET, reverse short-channel effect, transient enhanced diffusion, arsenic, phosphorus, source, drain, ion implantation

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras

Lecture 22: Integrated circuit fabrication

Fairchild Semiconductor Application Note June 1983 Revised March 2003

EE 434 Lecture 9. IC Fabrication Technology

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part 2

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica

32nm Low Standby Power NMOS Fabrication Simulation

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

MOLYBDENUM AS A GATE ELECTRODE FOR DEEP SUB-MICRON CMOS TECHNOLOGY

Chapter 2 Manufacturing Process

Tri-Gate Transistor Architecture with High-k Gate Dielectrics, Metal Gates and Strain Engineering

EE 143 CMOS Process Flow

Layout-related stress effects on TID-induced leakage current

Strain for CMOS performance Improvement

Application of Taguchi Method in Optimization of Gate Oxide and Silicide Thickness for 45nm NMOS Device

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

OPTIMIZATION OF ULTRA-THIN BODY, FULLY- DEPLETED-SOI DEVICE, WITH RAISED SOURCE/DRAIN OR RAISED EXTENSION

FABRICATION of MOSFETs

行政院國家科學委員會補助專題研究計畫成果報告

Make sure the exam paper has 9 pages total (including cover page)

Department of Electrical Engineering. Jungli, Taiwan

EE 330 Lecture 8. IC Fabrication Technology Part II. - Oxidation - Epitaxy - Polysilicon - Interconnects

EE 560 FABRICATION OF MOS CIRCUITS. Kenneth R. Laker, University of Pennsylvania

Lecture 200 BiCMOS Technology (12/12/01) Page 200-1

Body-tied-to-SOUrce ) Y

Chapter 2 Problems. The CMOS technology we need to realize is shown below, from Figure 1-34 in the text. S P + N P + N WELL P +

EEC 118 Lecture #5: MOS Fabrication. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

Lecture 2: CMOS Fabrication Mark McDermott Electrical and Computer Engineering The University of Texas at Austin

Microfabrication of Integrated Circuits

Chapter 3 Silicon Device Fabrication Technology

Lecture #18 Fabrication OUTLINE

Advanced CMOS Process Technology Part 3 Dr. Lynn Fuller

Lect. 2: Basics of Si Technology

Modeling of Local Oxidation Processes

Highly Reliable Low Temperature Ultrathin Oxides Grown Using N 2 O Plasma

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

CMOS Processing Technology

Complexity of IC Metallization. Early 21 st Century IC Technology

CMOS Processing Technology

Doping and Oxidation

VLSI Design and Simulation

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

Strained Silicon-On-Insulator Fabrication and Characterization

Chapter 2 MOS Fabrication Technology

EE CMOS TECHNOLOGY- Chapter 2 in the Text

Design and Optimization of 22nm NMOS Transistor

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook:

Amorphous and Polycrystalline Thin-Film Transistors

MOS Gate Dielectrics. Outline

CHAPTER - 4 CMOS PROCESSING TECHNOLOGY

Czochralski Crystal Growth

Alternate Channel Materials for High Mobility CMOS

Schottky-Barrier-Height Modulation of Ni Silicide/Si Contacts by Insertion of Thin Er or Pt Layers

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005

Characterization and Fabrication of 90nm Strained Silicon PMOS using TCAD

ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization. Die Image

6.774 Fall 2006: Global and Local Stress to Enhance CMOS Performance

Chapter 1. Introduction

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules

9/4/2008 GMU, ECE 680 Physical VLSI Design

Cost of Integrated Circuits

UNIT 4. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

Manufacturing Process

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width

Hafnium -based gate dielectrics for high performance logic CMOS applications

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Instructor: Dr. M. Razaghi. Silicon Oxidation

Cambridge University Press A Guide to Hands-on MEMS Design and Prototyping Joel A. Kubby Excerpt More information.

Lecture 0: Introduction

MATTHEW A. WICKHAM 5th Year Microelectronic Engineering Student Rochester Institute of Technology ABSTRACT

High Performance High-K + Metal Gate Strain Enhanced Transistors on (110) Silicon

Contact Resistance Reduction using Advanced Implant and Anneal Techniques for 7nm Node and Beyond

Implant And Annealing Process Integration Issues To Reduce Device Variability For <10nm p+ & n+ Ultra-Shallow junctions

Review Literature for Mosfet Devices Using High- K

Silicon Wafer Processing PAKAGING AND TEST

566 Zheng Zhong-Shan et al Vol Device and experiment First, standard SIMOX (separation-by-implantedoxygen) wafers were formed through implanting

CMOS FABRICATION. n WELL PROCESS

Fabrication of high power GaN transistors F. Medjdoub CNRS - IEMN

Characterization of Dopant Diffusion in Bulk and lower dimensional Silicon Structures. Coumba Ndoye

Transcription:

Performance Predictions for Scaled Process-induced Strained-Si CMOS G Ranganayakulu and C K Maiti Department of Electronics and ECE, IIT Kharagpur, Kharagpur 721302, India Abstract: Device and circuit simulations using process/physics-based Technology CAD tools are done to project the scaled CMOS speed-performance enhancement that can be expected from process-induced strained-si CMOS. Key Words: Process induced stress, Dual Stress Liners, Cutoff Frequency. Introduction For over four decades now, the semiconductor industry has relied largely on shrinking transistor geometries for improvements in both circuit performance and density, resulting in a consistent reduction of cost per function for each new technology node. CMOS scaling using conventional means such as, voltage and geometry have reached their limits. The quest to satisfy the low-power and low-leakage requirements of portable/mobile consumer electronics is driving efforts to improve transistor performance. As gate lengths approach sub- 45 nm dimensions and gate oxides approach 1 nm, scaling becomes more challenging, and new material and device structures are required to overcome the fundamental physical limitations imposed by traditional semiconductor materials. Continuation of CMOS downscaling is now being attempted mainly by stress-induced carrier mobility enhancement. In general, in Si MOSFETs on <100> substrate with <110> channel direction, if uniaxial mechanical stress is applied along the channel direction, electron mobility is enhanced by tensile stress while hole mobility is enhanced by compressive stress [1]. Process-induced stress has been mainly employed due to its cost effectiveness. Fig. 1 illustrates possible directions of uniaxial stresses and their effects on N and PMOS. Different mechanical stresses can be introduced through the substrate and during the fabrication process. For NFET, tensile stressed liner has been used to induce tensile localized strain to improve electron mobility. For PFET, compressive localized strain has been induced by compressive stress line. Dual Stress Liner (DSL) has also been a cost effective technique to enhance both NFET and PFET simultaneously by selectively applying tensile stress liner at NFET and compressive stress liner at PFET [1]. The integration of DSL has been demonstrated for high performance CMOS. Recently stress proximity technique SPT for DSL has been successfully demonstrated by removing spacer between stress liner and poly gate to maximize stress proximity. The integration of cost effective technique DSL has been demonstrated. Technology CAD process and device simulation tools play a critical role in advanced technology development by giving insight into the relationships between processing choices and nanoscale device performance that cannot be obtained from physical metrology tools alone. TCAD makes its greatest impact when a detailed understanding of the underlying physical mechanisms is tightly coupled within the technology development cycle so that physical insights feed directly into technology direction. In this paper, an attempt has been made to possible performance prediction of scaled strained-si CMOS devices. NMOS PMOS Longitudinal X Tensile Compressive Lateral Y Tensile Tensile Si depth Z Compressive Tensile Fig. 1: Desired stress on CMOS performance. Contact etch-stop stress liner Mechanical stress can be transferred to the channel through Si active area and poly gate if a permanent stressed liner is deposited on the device. Tensile liner will enhance NMOS and compressive liner will enhance PMOS mobility, respectively. The integration process is described below. After silicide D- 35

formation (either cobalt or nickel silicide), a highly stress liner (either tensile or compressive), such as PECVD (Plasma Enhanced Chemical Vapor Deposition) or RTCVD (Rapid Thermal CVD) nitride, is uniformly deposited over the wafer. The drive current dependence on stress is through the change in film thickness and material [2]. The remaining steps follow a conventional process flow, including interlayer dielectric and contact formation. Thicker nitride capping layer can increase the stress level. However, this will impact the conformity of gap fill itself, subsequent interlayer dielectric (ILD) gap fill, and contact opening process. If one single liner is used, one drawback of this approach is that the device of the opposite type will be degraded. One of the possible solutions is to use high dose of Ge implantation to damage the nitride film and relax its stress. For example, a highly tensile stress nitride film is deposited over both N and PMOS, and Ge is selectively implanted into PMOS region to relax the nitride stress. However, the subsequent processes need to have low thermal budget to prevent the stress stability of the liner. The above process does not result in both NMOS and PMOS to their highest performance. In order to achieve ultimate CMOS performance, two different types of stress liners should be applied to NMOS and PMOS, accordingly. For example, a highly tensile nitride liner is deposited first. It is selectively etched on the PMOS regions. Next, a highly compressive nitride liner is deposited, and this film is also selectively etched on the NMOS region. This process can be applied in the reverse order. Process Simulation The main process steps used in process simulation is similar to those reported process flow for the fabrication of a sub-45nm MOSFET from reference [6] and is summarized as follows: 1. Active patterning and threshold voltage implant 2. Gate oxidation 3. In-situ N + poly gate deposition and patterning 4. NMOS S/D extension implantation 5. Spacer formation 6. S/D implantation & Annealing 7. Salicidation 8. Tensile nitride deposition 9. Removal of tensile nitride on PFETs 10. Compressive nitride deposition 11. Removal of compressive nitride on NFETs 12. Contact formation Taurus Process [5] is a process simulator for onetwo-, and three-dimensional (1D, 2D, and 3D) structures and simulates the fabrication steps used to manufacture semiconductor devices. Simulation capabilities are focused on front-end processes such as ion implantation, activation, and annealing including oxidation and silicide growth. The simulator allows the specification of arbitrary initial geometries. The simulation of etching and deposition is restricted to simple geometric operations where the resulting shape can be derived from the initial structure and the process description. Taurus Process uses a viscoelastic model to simulate stress evolution in the structure. By default, stresses are computed only during oxidation and silicidation steps and in material regions other than the silicon substrate. The starting material is a (100) Silicon wafer with an initial boron concentration of ~4.0x10 17 cm -3. Channel doping is performed to adjust NMOS and PMOS V t using ion implantation. To relieve the etch damage; a sacrificial oxide is removed before gate oxidation. 22 Å thermal oxide is grown and in-situ heavily doped N + poly-silicon is deposited. After gate plasma etch, the source/drain extension implantation is simulated followed by annealing simulation. To simulate the stress history during the entire process flow and in the entire structure including the bulk region, one needs to activate model Keep-Stress-History. With this, the simulation will include thermal mismatch stress, lattice mismatch stress, stress relaxation during low temperature geometry changes, intrinsic stress during deposition, and stresses caused by material growth and volume expansion or shrinkage. This model also activates the stress-strain relations in all the regions including the silicon substrate and does stress simulations. Spacer of silicon oxide is deposited and dry etched with final thickness of ~ 40 nm followed by original source/drain implantation. Thermal anneals above 1000 C are used for dopant activation. Dual Stress Liner (DSL) shown in Fig 4 is deposited as explained by the steps 9-12. After interlayer dielectric deposition, W is used for metal contact plugging and Cu is used for interconnection. D- 36

Device Simulation For deep submicron devices, quantum mechanical effects are becoming increasingly important. In particular, thinner oxides and higher substrate doping used in advanced technologies lead to high electric fields that can quantize electron and hole motion in the inversion layer. This phenomenon has an effect on: Threshold voltage CV characteristics Carrier distribution Fig. 2: NMOS structure showing S XX contours. Taurus Device [5] provides a wide range of quantum mechanical models for simulating the impact of carrier confinement on device operation. These models include the Van Dort model, the Modified Local Density Approximation (MLDA) model, and a Schrödinger equation solver and allow the user to trade-off speed and accuracy. Also the channel gate oxide interface must be resolved to a very high level of accuracy. The MLDA is an alternative quantum mechanical model that is capable of calculating the confined carrier distributions that occur near Si/SiO 2 interfaces. It can be applied to both inversion and accumulation and simultaneously to electrons and holes. It is based on a rigorous extension of the local density approximation and provides a good compromise between accuracy and runtime. Fig. 3: NMOS structure showing S YY contours Fig. 4: Schematic of NMOS and PMOS with DSL Fig. 2 shows the final device structure with longitudinal stress (S xx ) contours along the channel. The device structure with stress (S yy ) contours along the depth of the device is shown in Fig. 3. Fig. 5: I d -V d characteristics for both NMOS and PMOS at 45nm gate length D- 37

Results and Discussion The I d -V d characteristics of NMOS and PMOS devices with DSL process compared with control samples are shown in Fig. 5. Significant drive current enhancement of both N/PMOS is achieved with insertion of this DSL in standard CMOS flow. Simulations are performed to study further scaling down to 32 nm gate lengths. Simulation results (id-vg characteristics) using synopsys taurusdevice tool [5] for mosfets with 20 Å gate oxide thickness are shown in Fig. 6 for three different gate lengths. Fig. 6: Simulated I d -V g for three different gate length 70nm, 45nm and 32nm MOSFETs Fig. 7: Comparison of simulated transconductance for both NMOS and PMOS D- 38

Fig. 7 shows the g m variation vs. gate bias for both NMOS and PMOS devices. It shows the transconductance improvement for 45 nm gate length transistors with DSL over the reference control transistor with same gate length. A 12.5% higher g m than reference transistor is obtained. where C gs and C gd are the gate-to-source and gate-todrain capacitances, respectively. This analysis is performed to see the impact of stress on the RF performance. To get higher f T, not only higher g m is needed, but also higher g m /C gs ratio. In Fig. 8, the cutoff frequency f T is plotted against gate voltage. As expected a peak cutoff frequency of 411 GHz is observed for NMOS devices (with tensile cap layer) of gate length 22nm and 165 GHz is observed for PMOS devices (with compressive cap layer) of gate length 22nm. A 6% higher cutoff frequency, f T is obtained for NMOS with tensile stress than its control counter part. Fig. 9 compares the peak f T values predicted by simulation with published experimental data for bulk NMOS and PMOS devices. Simulated f T values for planar bulk NMOS and PMOS devices reasonably fit the trend pattern of the experimental data [3, 4]. The DSL process technique is used to achieve higher values of the drive current and cut-off frequency for nanoscale MOSFETs. Fig. 9: Comparison of f T values of NMOS predicted by our simulations along and published experimental data of MOSFETs. Conclusion Fig. 8: Simulated f T vs V gs for different gate length 45nm, 32nm, and 22nm MOSFETs. The focus of the ac analysis is set on the intrinsic device cutoff frequency, f T = g m /(2Π(C gs + C gd )), Even when scaling reaches its limits, alternate technologies discussed will result in improved performance. Performance projections of scaled CMOS devices involving process-induced strain are made via technology CAD simulation. D- 39

Acknowledgement The work has been supported by MCIT/DIT, N Delhi. References [1] S Fang, S S Tan, T Dyer, Z Luo, J Yan, J J Kim, N Rovedo, Z Lun, J Yuan, X Chen, V Chan, T J Tang, R Amos, H Ng, M Ieong, S Iyer, S Crowder, Process Induced Stress for CMOS Performance Improvement, Solid State and Integrated Circuit Technology, 108 111, Oct, 2006 [2] V Chan, K Rim, M Ieong, S Yang, R Malik, Y W Teh, M Yang, Q (Christine) Ouyang, Strain for CMOS performance Improvement, Custom Integrated Circuit Conference, 667-674, Sept, 2005 [3] H S Momose, E Morifuji, T Yoshitomi, T Ohguro, Cutoff Frequency and Propagation Delay Time of 1.5-nm Gate Oxide CMOS, IEEE Transactions on Electron Devices, 48, 6, 1165-1174, June, 2001 [4] D V Singh, K A Jenkins, J Sleight, Z Ren, M Ieong, and W Haensch, Strained Ultrahigh Performance Fully Depleted nmosfets With ft of 330 GHz and Sub-30 nm Gate Lengths, IEEE Electron Device Letters, 27, 3, 191-193, March, 2006 [5] Synopsys Inc., Mountain View, Calif., Taurus Process and Device User Manuals, 2006 [6] H S Yang et al, IEDM Tech. Dig., 1075-78, Dec, 2000 D- 40