Chapter 5 Thermal Processes

Similar documents
Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology

Chapter 5 Thermal Processes

Oxidation SMT Yau - 1

Chapter 4. UEEP2613 Microelectronic Fabrication. Oxidation

Chapter 3 Silicon Device Fabrication Technology

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

Czochralski Crystal Growth

Doping and Oxidation

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook:

Why silicon? Silicon oxide

MICROCHIP MANUFACTURING by S. Wolf

EECS130 Integrated Circuit Devices

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

Semiconductor Technology

EE 434 Lecture 9. IC Fabrication Technology

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

Chapter 4 : ULSI Process Integration (0.18 m CMOS Process)

Thermal Oxidation and Growth of Insulators (Chapter 3 - Jaeger 3) Key advantage of Si: Oxidation of Si into SiO 2 (glass) Major factor in making

Section 4: Thermal Oxidation. Jaeger Chapter 3. EE143 - Ali Javey

Chapter 3 CMOS processing technology

EE 330 Lecture 8. IC Fabrication Technology Part II. - Oxidation - Epitaxy - Polysilicon - Interconnects

Chapter 7 Polysilicon and Dielectric Film Deposition

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE 330 Lecture 9. IC Fabrication Technology Part 2

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley

Chapter 2 Manufacturing Process

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica

Mostafa Soliman, Ph.D. May 5 th 2014

Section 4: Thermal Oxidation. Jaeger Chapter 3

Fabrication Technology

Process Integration. NMOS Generic NMOS Process Flow. CMOS - The MOSIS Process Flow

Process Integration. MEMS Release Techniques Sacrificial Layer Removal Substrate Undercut

Kinetics of Silicon Oxidation in a Rapid Thermal Processor

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant

Lecture #18 Fabrication OUTLINE

Fabrication Process. Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation CONCORDIA VLSI DESIGN LAB

CHAPTER 4: Oxidation. Chapter 4 1. Oxidation of silicon is an important process in VLSI. The typical roles of SiO 2 are:

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

ECE520 VLSI Design. Lecture 7: CMOS Manufacturing Process. Payman Zarkesh-Ha

The Physical Structure (NMOS)

Lect. 2: Basics of Si Technology

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant

2. Fabrication techniques. KNU Seminar Course 2015 Robert Mroczyński

Processing of Semiconducting Materials Prof. Pallab Banerjee Department of Material Science Indian Institute of Technology, Kharagpur

Isolation Technology. Dr. Lynn Fuller

INTEGRATED-CIRCUIT TECHNOLOGY

Instructor: Dr. M. Razaghi. Silicon Oxidation

Review of CMOS Processing Technology

EE THERMAL OXIDATION - Chapter 6. Basic Concepts

Chapter 2 MOS Fabrication Technology

Introduction to CMOS VLSI Design. Layout, Fabrication, and Elementary Logic Design

Make sure the exam paper has 9 pages total (including cover page)

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam

ECSE-6300 IC Fabrication Laboratory Lecture 4: Dielectrics and Poly-Si Deposition. Lecture Outline

EE CMOS TECHNOLOGY- Chapter 2 in the Text

Microelectronic Device Instructional Laboratory. Table of Contents

This Appendix discusses the main IC fabrication processes.

Semiconductor Device Fabrication

Lecture 22: Integrated circuit fabrication

Surface micromachining and Process flow part 1

Complementary Metal Oxide Semiconductor (CMOS)

Silicon Manufacturing

CMOS Fabrication. Dr. Bassam Jamil. Adopted from slides of the textbook

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS

Chemical Vapour Deposition: CVD Reference: Jaeger Chapter 6 & Ruska: Chapter 8 CVD - Chemical Vapour Deposition React chemicals to create a thin film

ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline:

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules

Most semiconductor devices contain at least one junction between p-type and n-type material. These p-n junctions are fundamental to the performance

EE 330 Lecture 8. IC Fabrication Technology Part II. - Masking - Photolithography - Deposition - Etching - Diffusion

Thermal Evaporation. Theory

More on oxidation. Oxidation systems Measuring oxide thickness Substrate orientation Thin oxides Oxide quality Si/SiO2 interface Hafnium oxide

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras

CS/ECE 5710/6710. N-type Transistor. N-type from the top. Diffusion Mask. Polysilicon Mask. CMOS Processing

Oxidation of Silicon

Rapid Thermal Processing (RTP) Dr. Lynn Fuller

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005

Process Flow in Cross Sections

Lecture 200 BiCMOS Technology (12/12/01) Page 200-1

ELEC 7364 Lecture Notes Summer Si Oxidation. by STELLA W. PANG. from The University of Michigan, Ann Arbor, MI, USA

CMOS Manufacturing process. Design rule set

4. Thermal Oxidation. a) Equipment Atmospheric Furnace

Silicon Wafer Processing PAKAGING AND TEST

THERMAL OXIDATION - Chapter 6 Basic Concepts

Semiconductor Technology

Physical Vapor Deposition (PVD) Zheng Yang

9/4/2008 GMU, ECE 680 Physical VLSI Design

Intel Pentium Processor W/MMX

Etching Etching Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology

Interconnects OUTLINE

Technology. Semiconductor Manufacturing. Hong Xiao INTRODUCTION TO SECOND EDITION SPIE PRESS

IC/MEMS Fabrication - Outline. Fabrication

EE 143 CMOS Process Flow

Xilinx XC4036EX FPGA

3.155J / 6.152J MICROELECTRONICS PROCESSING TECHNOLOGY TAKE-HOME QUIZ FALL TERM 2003

Transcription:

Chapter 5 Thermal Processes 1

Topics Introduction Hardware Oxidation Diffusion Annealing Post-Implantation Alloying Reflow High Temp CVD Epi Poly Silicon Nitride RTP RTA RTP Future Trends 2

Definition Thermal processes are the processes operate at high temperature, which is usually higher than melting point of aluminum. They are performed in the front-end of the semiconductor process, usually in high temperature furnace commonly called diffusion furnace. 3

Thermal Processes in IC Fabrication Materials IC Fab Metallization CMP Dielectric Thin Film Test Wafers Masks Thermal Processes Implant PR strip Etch PR strip Packaging Photolithography Final Test Design 4

Horizontal Furnace Commonly used tool for thermal processes Often be called as diffusion furnace Quartz tube inside a ceramic liner called muffle Multi-tube system 5

Source Cabinet Source Gases Oxygen Water Vapor Nitrogen Hydrogen Gas control panel Gas flow controller Gas flow meter 6

Oxidation Sources Dry Oxygen Water vapor sources Bubblers Flash systems Hydrogen and oxygen, H 2 + O 2 H 2 O Chlorine sources, for minimized mobile ions in gate oxidation Anhydrous hydrogen chloride HCl Trichloroethylene (TCE), Trichloroethane (TCA) 7

P-type dopant B 2 H 6 N-type dopants PH 3 AsH 3 Purge gas N 2 Diffusion Sources 8

Deposition Sources Silicon source for poly and nitride deposition: Silane, SiH 4 DCS, SiH 2 Cl 2 Nitrogen source for nitride deposition: NH 3 Dopants for polysilicon deposition B 2 H 6, PH 3 and AsH 3 Purge gas N 2 9

Horizontal Furnace Heating Coils Wafers Quartz Tube Gas flow Temperature Center Zone Flat Zone Distance 10

Vertical Furnace, Process Position Process Chamber Wafers Heaters Suscepter Tower Place the process tube in vertical direction Smaller footprint Better contamination control Better wafer handling Lower maintenance cost and higher uptime 11

Temperature Control Thermal processes are very sensitive to the temperature Precisely temperature control is vital ±0.5 C at central zone ±0.05% at 1000 C! 12

Ramping Temperature Control Anti-Warp Methods Load wafer slowly at a lower temperature (idle temperature, ~ 800 C) Ramp temperature to process point after a short stabilization period Slow loading 1 inch/min thermal capacity of 200 six-inch wafers can drop temperature as much as 50 C 13

Oxidation Silicon reacts with oxygen Stable oxide compound Widely used in IC manufacturing Si + O 2 SiO 2 14

Oxidation Original Silicon Surface O 2 Silicon Dioxide Silicon O 2 O 2 O 2 O 2 O 2 O 2 O 2 O 2 O 2 O 2 O 2 O 2 O 2 O 2 55% 45% 15

Application of Oxidation Diffusion Masking Layer Surface Passivation Screen oxide, pad oxide, barrier oxide Isolation Field oxide and LOCOS Gate oxide 16

Diffusion Barrier Much lower B and P diffusion rates in SiO 2 than that in Si SiO 2 can be used as diffusion mask Dopant SiO 2 SiO 2 Si 17

Surface Passivation Pad Oxide Sacrificial Oxide Screen Oxide Barrier Oxide SiO 2 Si Normally thin oxide layer (~150Å) to protect silicon defects from contamination and over-stress. 18

Screen Oxide Dopant Ions Photoresist Photoresist Si Substrate Screen Oxide 19

Pad and Barrier Oxides in STI Process Nitride Pad Oxide Silicon Trench Etch Nitride Pad Oxide USG Silicon Barrier Oxide USG Trench Fill Silicon USG CMP; USG Anneal; Nitride and Pad Oxide Strip 20

Pad Oxide Relieve strong tensile stress of the nitride Prevent stress induced silicon defects Silicon nitride Pad Oxide Silicon Substrate 21

LOCOS Process Pad Oxide Silicon nitride P-type substrate Pad oxidation, nitride deposition and patterning Silicon nitride SiO 2 p + P-type substrate p + Isolation Doping p + LOCOS oxidation Bird s Beak SiO 2 p + P-type substrate p + Isolation Doping p + Nitride and pad oxide strip 22

Sacrificial Oxide Defects removal from silicon surface STI STI STI Sacrificial Oxide P-Well P-Well Gate Oxide P-Well USG N-Well Sacrificial Oxidation USG N-Well Strip Sacrificial Oxide USG N-Well Gate Oxidation 23

Device Dielectric Gate oxide: thinnest and most critical layer Capacitor dielectric V G V D > 0 Poly Si Gate Thin oxide n + Source p-si n + Drain Electrons Si Substrate 24

Oxide and Applications Name of the Oxide Thickness Application Time in application Native 15-20 Å undesirable - Screen ~ 200 Å Implantation Mid-70s to present Masking ~ 5000 Å Diffusion 1960s to mid-1970s Field and LOCOS 3000-5000 Å Isolation 1960s to 1990s Pad 100-200 Å Nitride stress buffer 1960s to present Sacrificial <1000 Å Defect removal 1970s to present Gate 30-120 Å Gate dielectric 1960s to present Barrier 100-200 Å STI 1980s to present 25

Oxidation Mechanism Si + O 2 SiO 2 Oxygen comes from gas Silicon comes from substrate Oxygen diffuse cross existing silicon dioxide layer and react with silicon The thicker of the film, the lower of the growth rate 26

Oxide Growth Rate Regime Oxide Thickness Linear Growth Regime B X = t A Diffusion-limited Regime X = B t Oxidation Time 27

<100> Silicon Dry Oxidation Oxide Thickness (micron) 1.2 1.0 0.8 0.6 0.4 0.2 0 <100> Silicon Dry Oxidation 1200 C 1150 C 1100 C 1050 C 1000 C 950 C 900 C 2 4 6 8 10 12 14 16 18 20 Oxidation Time (hours) 28

Wet (Steam) Oxidation Si + 2H 2 O SiO 2 + 2H 2 At high temperature H 2 O is dissociated to H and H-O H-O diffuses faster in SiO 2 than O 2 Wet oxidation has higher growth rate than dry oxidation. 29

<100> Silicon Wet Oxidation Rate Oxide Thickness (micron) 3.0 2.5 2.0 1.5 1.0 0.5 <100> Silicon Wet Oxidation 1150 C 1100 C 1050 C 1000 C 950 C 900 C 0 2 4 6 8 10 12 14 16 18 20 Oxidation Time (hours) 30

Oxidation Rate Temperature Chemistry, wet or dry oxidation Thickness Pressure Wafer orientation (<100> vs. <111>) Silicon dopant 31

Wet Oxidation Rate Oxide Thickness (micron) 1.8 1.6 1.4 1.2 1.0 0.8 0.6 0.4 0.2 <111> Orientation 95 C Water 1200 C 1100 C 1000 C 920 C 0 1 2 3 4 Oxidation Time (hours) 32

Depletion and Pile-up Effects Original Si Surface Si-SiO 2 interface Original Si Surface Si-SiO 2 interface Dopant Concentration SiO 2 Original Distribution Si Dopant Concentration SiO 2 Si P-type Dopant Depletion N-type dopant Pile-up 33

Oxidation Rate Doped oxidation (HCl) HCl is used to reduce mobile ion contamination. Widely used for gate oxidation process. Growth rate can increase from 1 to 5 percent. 34

Oxidation Process Dry Oxidation, thin oxide Gate oxide Pad oxide, screen oxide, sacrificial oxide, etc. Wet Oxidation, thick oxide Field oxide Diffusion masking oxide 35

Dry Oxidation System MFC MFC MFC To Process Tube MFC Control Valves HCl Process N 2 O 2 Purge N 2 Regulator 36

Dry Oxidation Dry O 2 as the main process gas HCl is used to remove mobile ions for gate oxidation High purity N 2 as process purge gas Lower grade N 2 as idle purge gas 37

Dangling Bonds and Interface Charge Interface State Charge (Positive) Dangling Bond + + + + + SiO 2 Si-SiO 2 Interface Si 38

Wet Oxidation Process Faster, higher throughput Thick oxide, such as LOCOS Dry oxide has better quality Process Temperature Film Thickness Oxidation Time Dry oxidation 1000 C 1000 Å ~ 2 hours Wet oxidation 1000 C 1000 Å ~ 12 minutes 39

Water Vapor Sources Boiler Bubbler Flush Pyrogenic 40

Boiler System Heated Gas line Heated Fore line MFC Process Tube Exhaust Vapor Bubbles Water Heater 41

Bubbler System N 2 Water MFC N 2 + H 2 O Heated Gas Line Process Tube N 2 Bubbles Exhaust Heater 42

Flush System Hot Plate Water N 2 MFC Process Tube Heater 43

Pyrogenic Steam System Hydrogen Flame, 2 H 2 + O 2 2 H 2 O O 2 H 2 To Exhaust Thermal Couple Process Tube Paddle Wafer Boat 44

Rapid Thermal Oxidation For gate oxidation of deep sub-micron device Very thin oxide film, < 30 Å Need very good control of temperature uniformity, WIW and WTW. RTO will be used to achieve the device requirement. 45

High Pressure Oxidation Faster growth rate Reducing oxidation temperature: 1 amt. = 30 C Higher dielectric strength Complex system Safety issues Not widely used in IC production Stainless Steel Jacket High Pressure Inert Gas High Pressure Oxidant Gas Quartz Process Chamber 46

High Pressure Oxidation Oxidation time to grow 10,000 Å wet oxide Temperature Pressure Time 1 atmosphere 5 hours 1000 C 5 atmosphere 1 hour 25 atmosphere 12 minutes Time Pressure Temperature 1 atmosphere 1000 C 5 hours 10 atmosphere 700 C 47

Oxide Measurement Thickness Uniformity Color chart Ellipsometry Reflectometry Gate oxide Break down voltage C-V characteristics 48

Ellipsometry Linearly Polarized Incident Light Elliptically Polarized Reflected Light s p n 1, k 1, t 1 n 2, k 2 49

Reflectometry Incident light 1 2 Human eye or photodetector t Dielectric film, n(λ) Substrate 50

C-V Test Configuration Large Resistor Capacitor Meter Oxide Aluminum Silicon Metal Platform Heater Heater 51

Diffusion Most common physics phenomena Materials disperse from higher concentration to lower concentration region Silicon dioxide as diffusion mask Was widely used for semiconductor doping Diffusion Furnace and Diffusion Bay 52

Illustration of Diffusion Doping Dopant Junction Depth Silicon 53

Definition of Junction depth Junction Depth, x j Background dopant concentration Dopant Concentration Distance from the wafer surface 54

Diffusion Masking Oxide Masking Oxide N-Silicon p + p + N-Silicon 55

Diffusion Replaced by ion implantation due to the less process control Still being used in drive-in for well formation 56

Thermal Budget Dopant atoms diffuse fast at high temperature D = D 0 exp ( E A /kt) Smaller device geometry, less room for dopant thermal diffusion, less thermal budget Thermal budget determines the time and temperature of the post-implantation thermal processes 57

Illustration of Thermal Budget Gate As S/D Implantation Over Thermal Budget 58

Thermal Budget 1100 1000 900 800 T ( C) 1 µm 0.5 µm 1000 2 µm Thermal Budget (sec) 100 10 1 0.25 µm Source: Chang and Sze, ULSI Technology 7 8 9 10 10 4 /T (K) 59

Diffusion Doping Process Both dopant concentration and junction depth are related to temperature. Isotropic dopant profile Replaced by ion implantation after the mid-1970s. 60

Diffusion Doping Process Silicon dioxide as hard mask Deposit dopant oxide Cap oxidation prevent dopant diffusion into gas phase Drive-in 61

Diffusion Doping Process Oxidation, photolithography and oxide etch Pre-deposition: B 2 H 6 + 2 O 2 B 2 O 3 + 3 H 2 O Cap oxidation: 2 B 2 O 3 + 3 Si 3 SiO 2 + 4 B 2 H 2 O + Si SiO 2 + 2 H 2 Drive-in Boron diffuses into silicon substrate 62

Diffusion Doping Process Oxidation, photolithography and oxide etch Deposit dopant oxide: 4POCl 3 + 3O 2 2P 2 O 5 + 3Cl 2 Cap oxidation 2P 2 O 5 + 5Si 5SiO 2 + 4P Phosphorus concentrates on silicon surface Drive-in Phosphorus diffuses into silicon substrate 63

Dopant Oxide Deposition Deposited Dopant Oxide SiO 2 Si Substrate 64

Limitations and Applications Diffusion is isotropic process and always dope underneath masking oxide Can t independently control junction depth and dopant concentration Used for well implantation drive-in R&D for ultra shallow junction (USJ) formation 65

Diffusion for Boron USJ Formation Small devices needs ultra shallow junction Boron is small and light, implanter energy could be too high for it goes too deep Controlled thermal diffusion is used in R&D for shallow junction formation 66

Four-Point Probe Measurement I V R s = ρ/t P 1 P 2 P 3 P 4 S 1 S 2 S 3 Doped Region Substrate 67

Annealing and RTP Processes 68

Post-implantation Annealing Energetic ions damage crystal structure Amorphous silicon has high resistivity Need external energy such as heat for atoms to recover single crystal structure Only in single crystal structure dopants can be activated Higher temperature, faster annealing 69

After Ion Implantation Lattice Atoms Dopant Atom 70

Thermal Annealing Lattice Atoms Dopant Atoms 71

Alloy Annealing A thermal process in which different atoms chemically bond with each other to form a metal alloy. Widely used in silicide formation Self aligned silicide (salicide) TiSi 2 CoSi 2 Furnace and RTP 72

Silicide Much lower resistivity than polysilicon Used as gate and local interconnection Used as capacitor electrodes Improving device speed and reduce heat generation TiSi 2, WSi 2 are the most commonly used silicide CoSi 2, MoSi 2, and etc are also used 73

Titanium Silicide Process Titanium Polysilicon n + n + STI USG p + p + Ti Deposition Titanium Silicide STI n + n + USG p + p + Annealing Sidewall Spacer Titanium Silicide STI n + n + USG p + p + Ti Strip 74

Aluminum-silicon Alloy Form on silicon surface Prevent junction spiking due to silicon dissolving in aluminum Junction Spike Al SiO 2 Al Al p + p + n-type Silicon 75

Illustration of BPSG Reflow As Deposit p + PSG n + n + LOCOS SiO 2 p + p + p + P-type substrate N-well After Reflow p + PSG n + n + LOCOS SiO 2 p + p + p + P-type substrate N-well 76

Rapid Thermal Processing (RTP) Mainly used for post-implantation rapid thermal anneal (RTA) process. Fast temperature ramp-up, 100 to 150 C/sec compare with 15 C/min in horizontal furnace. Reduce thermal budge and easier process control. 77

Rapid Thermal Processing (RTP) Single wafer rapid thermal CVD (RTCVD) chamber can be used to deposit polysilicon and silicon nitride. RTCVD chamber can be integrated with other process chamber in a cluster tool for in-line process. Thin oxide layer (< 40 Å) is likely to be grown with RTO for WTW uniformity control. 78

Schematic of RTP Chamber Wafer External Chamber Process Gases Quartz Chamber Tungsten-Halogen Lamp IR Pyrometer 79

Lamp Array Bottom Lamps Top Lamps Wafer 80

RTP Chamber Photo courtesy of Applied Materials, Inc 81

Annealing and Dopant Diffusion At higher temperature >1100 C anneal is faster than diffusion Post implantation prefer high temperature and high temperature ramp rate. Furnace has low temperature ramp rate (~10 C/min) lead to large thermal capacity Furnace annealing is a long process which causes more dopant diffusion Anneal Rate Diffusion Rate Temperature 82

Dopant Diffusion After Anneal Gate RTA Furnace anneal 83

Advantage of RTP over Furnace Much faster ramp rate (75 to 150 C/sec) Higher temperature (up to 1200 C) Faster process Minimize the dopant diffusion Better control of thermal budget Better wafer to wafer uniformity control Load wafer Ramp up Anneal Cool down Unload wafer Temperature N 2 Flow Time 84

Temperature of RTP & Furnace Temperature RTP Furnace Room Temp. Time 85

Cluster Tool RTO/RTP RTCVD α-si HF Vapor Clean Transfer Chamber Cool down Loading Station Unloading Station 86