Performance, Reliability, and Versatility. Transpector CPM. Fast, Field-ready Process Monitoring System

Similar documents
Gas Analysis CAPABILITIES

Gas Analysis CAPABILITIES

XSTREAM REMOTE PLASMA SOURCE WITH ACTIVE MATCHING NETWORK

World-leading LC/MS/MS technology for quantitation and identification API LC/MS/MS System

The Thin-Film Experts MAKE GREAT PRODUCTS EVEN BETTER WITH VAPORTECH THIN-FILM DEPOSITION SYSTEMS

HiPace Turbopumps. Innovation HiPace TM. The new Dimension in Vacuum Technology! Intelligent. Flexible. Efficient. YEARS TURBO 50PUMPS

Corial PS200 4-sided multi-module platform

API 3200 LC/MS/MS system

Chapter 3 Silicon Device Fabrication Technology

MAX300-RTG PRODUCT NOTE. Real-Time Gas Analysis Process Automation and Control Product Quality Analysis. Industrial Process Mass Spectrometer

OmniStar and ThermoStar

Power Vision Ltd. PV Research. Power Vision Ltd. Unit R2, Herald Park, Crewe, Cheshire, CW1 6EA, UK Tel:

VAPORIZER PRODUCTS. Product Guide. MSP Corporation Rice Creek Parkway, Suite 300. Shoreview, Minnesota 55126, U.S.A.

Image Area YOUR PRESCRIPTION FOR USP 232/233 COMPLIANCE. USP 232 / 233 Readiness Solutions and Services Overview

Confident Quantitation

NO INTERFERENCE BETWEEN YOU AND BETTER THROUGHPUT. NexION 1000 ICP Mass Spectrometer. For research use only. Not for use in diagnostic procedures.

Pioneering ALD experience since The ALD Powerhouse PRODUCT CATALOGUE FOR SUNALE P-SERIES ALD SYSTEMS

EE 5344 Introduction to MEMS. CHAPTER 3 Conventional Si Processing

1 nanometer can be the difference ELECTRONICS COATINGS XRF ANALYZERS

Solutions for Agile Semiconductor Manufacturing. Sanjiv Mittal Applied Global Services Applied Materials October 6, 2009

ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline:

PlasmaPro TM System100 & System133. Modular tools for wafer processing100

Plasma-Enhanced Chemical Vapor Deposition

Proudly serving laboratories worldwide since 1979 CALL for Refurbished & Certified Lab Equipment

Weighing Terminals. One Terminal. Many Solutions. Improved Processes. Greater Productivity.

CORIAL D500. Large capacity batch system for 24/7 production environment

MODEL NanoMill TEM Specimen Preparation System. Ultra-low-energy, inert-gas ion source. Concentrated ion beam with scanning capabilities

Porter. CDG020D vacuum gauge. Big performance - small size Rely on our cost effective solution for precise measurement after easy installation

(Ultra) low flow Coriolis competence

Corial D500 No mechanical cleaning

IRRIGATION CONTROL FIELD MANAGEMENT PRODUCTS EASY IRRIGATION CONTROL DESIGNED TO FIT YOUR FIELD AND YOUR LIFE

GDMS. High Resolution GDMS.

In-situ Monitoring of Atomic Layer Deposition Processes

LITMAS RPS REMOTE PLASMA SOURCE

ACCURACY THE FIRST TIME. Reliable testing with performance, productivity and value combined AB SCIEX 3200MD SERIES MASS SPECTROMETERS

Semiconductor Device Fabrication

Atmospheric Transfer Robotics. Cutting-edge robotic solutions for today s advanced semiconductor manufacturers

MAX300-BIO PRODUCT NOTE. Bioreactor/Fermentation Control Process Efficiency Product Quality Analysis. Bioreactor/Fermentation Mass Spectrometer

Si DRIE APPLICATION In Corial 210IL

Physical Vapor Deposition (PVD) Zheng Yang

Linear Broad Beam Ion Sources ACC-30x150 IS, ACC-40x300 IS and ACC-40 x 600 IS

Non-contractual document, specifications subject to change without notice.

Direct Liquid Injection Vaporizers for High-Efficiency, Cost-effective Photovoltaic Manufacturing

Bearings for Extreme Special Environments (6) Present State and Future Trend of Koyo EXSEV Bearing

Direct Metal Printers. Metal Additive Manufacturing with the ProX DMP Series

BAK. Evaporator Family

Microwave Plasma Processing

DIRECT METAL PRINTERS. Metal Additive Manufacturing with the ProX DMP Series

AMAZINGLY CAPABLE REMARKABLY AFFORDABLE. Avio 200 ICP Optical Emission Spectrometer. For research use only. Not for use in diagnostic procedures.

Featuring Analyst software under Windows NT for enhanced performance and ease of use. API 150EX. LC/MS System

HIGHER IMPACT LC/MS DIAGNOSTICS AGILENT IN VITRO DIAGNOSTIC MEDICAL DEVICES FOR CLINICAL LABORATORIES

Semiconductor Pumps. ixl Dry Pumps 238. EPX on-tool High Vacuum Pumps 240. GX Dry Pumps Page 237. Shop online at

Plasma Surface Treatment

BAK EVAPORATOR FAMILY

TFS PRODUCTION SOLUTIONS. TFS Production Solutions. For thin-film solar modules

Trace PRODUCT NOTE

Glass Bonding Solutions

Plasma Surface Treatment

A Basic Introduction to Thin-Film Coatings. From the Experts at VaporTech

TFS PRODUCTION SOLUTIONS. TFS Production Solutions. For thin-film solar modules

MODEL 1061 SEM Mill ION MILLING. Ion milling is used in the physical. sciences to enhance the sample s surface. characteristics. Inert gas, typically

More information: Crane service: Spare parts: Contact:

PEAK EFFICIENCIES WITH FALLING MANUFACTURING COSTS

Mott GasShield Filters made with Hastelloy C-22 alloy For unsurpassed strength and resistance to corrosion

testo 350. For emission testing and combustion analysis. Portable Emission Analyzer

Intelligent Machines for Automation in Electrical Casting & Electronic Potting For void free castings in large scale manufacturing

Extending product lifetime with ALD moisture barrier

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE Dr. Alan Doolittle

Visionary Sensor Technology CDG045D. High Precision Vacuum Gauge. True pressure measurement

Gridless end-hall. Ion Sources. For Ion Assisted Thin Film Deposition & Substrate Cleaning

ACCURACY THE FIRST TIME. Bring Your Esoteric Assays In-House Routinely SCIEX DIAGNOSTICS MASS SPECTROMETERS

MODEL PicoMill TEM specimen preparation system. Achieve ultimate specimen quality free from amorphous and implanted layers

Fluxless soldering using Electron Attachment (EA) Technology

Lecture Day 2 Deposition

A STUDY OF THE EFFECTIVENESS OF THE REMOVAL OF HYDROCARBON CONTAMINATION BY OXIDATIVE CLEANING INSIDE THE SEM.

Confident Quantitation

GETINGE HS55 HOSPITAL STERILIZER. Always with you

Lubrication & hydraulics. / Measuring moisture in oil

USABILITY BY DESIGN Every component of the Agilent 7900 ICP-MS is engineered for performance and reliability

Waters QUATTRO PREMIER XE

MODEL SEM Mill. Two independently adjustable TrueFocus ion sources

To Measure & Optimise H 2 in Molten Aluminium. Rugged, accurate and economical.

EPA GHG MANDATORY REPORTING RULE AND TAILORING RULE MIKE SHERER SHERER CONSULTING SERVICES, INC.

Competence in Plasma-Surface-Technology. Attaining new Goals, taking new Approach...

Your expectations. our solutions! Coating, bonding & sealing for infrastructure. High efficiency & reliability Robust surfaces Powerful solutions

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS

World Top Class FCX-A

Hiden HPR40 Membrane Inlet Mass Spectrometer System

Please enclose a item wise compliance report along with the technical bid.

Lecture 22: Integrated circuit fabrication

Linear Plasma Sources for Surface Modification and Deposition for Large Area Coating

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications

Getinge HS55 Hospital sterilizer

WŝŽŶĞĞƌŝŶŐ > ĞdžƉĞƌŝĞŶĐĞ ƐŝŶĐĞ ϭϵϳϰ WŝĐŽƐƵŶ ^he > Ρ WͲƐĞƌŝĞƐ > ƐLJƐƚĞŵƐ ƌŝěőŝŷő ƚśğ ŐĂƉ ďğƚǁğğŷ ƌğɛğăƌđś ĂŶĚ ƉƌŽĚƵĐƟŽŶ d, &hdhz K& d,/e &/>D /^, Z

Fossomatic FC Superior performance you can count on. Dedicated Analytical Solutions

Above and beyond the extraordinary

Process Development and Process Integration of Semiconductor Devices

Analysis of steel and its alloys using the GB/T standard and an Agilent 5100 ICP-OES in dual view mode

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in

Transcription:

Performance, Reliability, and Versatility Transpector CPM Fast, Field-ready Process Monitoring System

Precision measurement for modern semiconductor processes INFICON Transpector CPM has been the films. Process optimization requires precise market-leading Residual Gas Analyzer control over precursor chemical doses. With (RGA) process monitoring system in the a measurement speed of 555 data points semiconductor industry for over a decade. Now per second and industry-leading sensitivity, Transpector CPM provides industry-leading the enhanced Transpector CPM can meet measurement speed and sensitivity through the challenges of atomic layer deposition a field-proven pumping and inlet system processes. integrated with a new sensor and electronics. Transpector CPM is the ideal RGA process In addition to being the fastest RGA process monitor for new and established semiconductor monitor available, Transpector CPM also processes such as ALD, CVD, PVD, and etch. delivers maximum uptime due to a long life closed ion source and field-replaceable TRANSPECTOR CPM IS FAST AND FIELD READY electron multiplier. To counter the extremely INFICON understands that modern as etch and CVD, the Transpector CPM semiconductor processes are more demanding HexBlock inlet is machined from a single than ever. Designed to provide premier trace piece of chemically resistant 316 stainless gas analysis for semiconductor applications, steel, and the turbo pump and foreline pump Transpector CPM is the choice instrument have been designed to maximize resistance for monitoring advanced processes such as to corrosion. Transpector CPM remains the ALD and HDP CVD. Atomic layer processes most reliable and versatile RGA process require depositing extremely thin and uniform monitor on the market. corrosive gases found in applications such Transpector CPM has improved speed (555 data points per second) and industry-leading sensitivity to effectively monitor the challenges of ALD applications. FEATURES AT A GLANCE ALD-ready with measurement with FabGuard software and speed of 1.8 ms per point supported by INFICON world-class Diaphragm Gauge (CDG) CDG (555 data points per second) applications experts allows user to monitor the process Field-proven durability and reliability integration into production etch applications semiconductor equipment HexBlock with up to three pressure Transpector CPM becomes a inlets and reduced surface area powerful process monitoring and to minimize surface reactions and diagnostics tool when integrated response times Corrosion resistant Capacitance pressure and automatically Compact size allows for easy in the most demanding CVD and Application integration protect the system from pressure excursions Automated calibration ensures long-term data stability and accuracy for sensor to sensor and tool to tool chamber matching

FAST DATA COLLECTION AUTOMATED CALIBRATION Redesigned electronics enable shorter RF settling times for data collection speed up to 1.8 milliseconds per data point (approximately 555 data points per second). With this fast data speed, Transpector CPM is ideal for challenging semiconductor applications such as ALD and HDP CVD. Automated calibration can be easily performed with FabGuard software using an integrated calibration reference gas mixture. LONG-LIFE ION SOURCE PROCESS CHARACTERIZATION AND MONITORING FOR: Transpector CPM includes a robust, field-replaceable closed ion source that will keep maintenance costs low over the lifetime of the instrument. dvanced Processes including Atomic Layer A Deposition (ALD) tch processes including: metal, dielectric, silicon E etch and high density plasma etch VD Processes including: high k dielectrics, C HDP-CVD, LP-CVD, SA-CVD, CVD low k, PE-CVD Diffusion and Epitaxy processes 300 mm wafer degas Ion implantation SIMPLIFIED CABLE BOX DESIGN Improved cable box design protects and organizes Transpector CPM cables. INNOVATIVE ELECTRON MULTIPLIER A new low-noise, high-gain continuous dynode electron multiplier can be replaced in the field, resulting in decreased maintenance downtime and increased tool uptime. FABGUARD DATA COLLECTION AND ANALYSIS When integrated with the INFICON FabGuard software suite, Transpector CPM becomes a powerful process monitoring and diagnostics tool which can be used for: Advanced process control (end point detection) FIELD-PROVEN INLET SYSTEM The Transpector CPM pumping and inlet system includes the INFICON field-proven HexBlock inlet adaptable enough to sample up to three different process pressures, yet robust enough to withstand the most challenging corrosive applications. PROCESS GAUGE Upgraded corrosion resistant CDG process pressure gauge for longer life in aggressive applications. FORELINE GAUGE Statistical process control (SPC) Run-by-run and real-time fault detection and classification New Pirani foreline pressure gauge for simpler pumping system diagnostics.

We have a global network of experts to provide fast and local applications and product support. Transpector CPM has provided proven return on investment to our semiconductor partners for over a decade. INDUSTRY-LEADING MEASUREMENT TECHNOLOGY Innovative sensor design for industry-leading sensitivity and stability leads to more accurate process control decisions in your fab. Modern electronic control with decreased RF settling times enables superior measurement speeds crucial for ALD analysis. Patented low noise, high gain electron multiplier means more measurement from your investment. EASE OF MAINTENANCE Long lifetime components for longer mean time between maintenance. Field-replaceable electron multiplier, ion source, and gauges allow you to schedule maintenance at your convenience, taking repair delays out of the uptime equation. Field-serviceable diaphragm pump. ROBUST AND ADAPTIVE ARCHITECTURE Configurable HexBlock inlet allows for sampling up to three different process pressures, enabling you to adjust according to the ever-changing semiconductor process landscape. Wide pressure measurement range from high vacuum to atmosphere Corrosion resistant inlet, pumping package, and sensor for harsh environment manufacturing. Includes the Transpector CPM ion source with an additional anode liner which can be configured specifically for CVD or etch applications. SUPERIOR PRODUCT SUPPORT World leader in RGAs for the semiconductor market for decades, with a global network of experts to provide fast and local applications and product support. INFICON has the resources, expertise, and experience to develop innovative, reliable monitoring systems that enhance yields.

SPECIFICATIONS Mass range 1 to 100 amu 1 to 200 amu 1 to 300 amu Peak width @ 10% peak maximum Ion source type Total pressure range 1 Total pressure accuracy 2 Maximum ion source operating pressure 3 Nominal ion source operating pressure 4 System operating pressure (with orifices/capillary) <1 amu Closed Ion Source 5E-7 to 1E-3 Torr (6.6E-7 to 1.3E-3 mbar) ±25% 1E-6 to 1E-3 Torr (1.3E-6 to 1.3E-3 mbar) 1E-3 Torr (1.3E-3 mbar) 2E-4 Torr (2.6E-4 mbar) 1E-8 Torr (1.3E-8 mbar) to 1.2 atmospheres Sensitivity @ low emission, FC mode >4.0E-6 amps/torr >2.0E-6 amps/torr >1.0E-6 amps/torr (>3E-6 amps/mbar) (>1.5E-6 amps/mbar) (>7.6E-7 amps/mbar) @ high emission, FC mode >2.0E-5 amps/torr >1.0E-5 amps/torr >5.0E-6 amps/torr (>1.5E-5 amps/mbar) (>7.6E-6 amps/mbar) (>3.8E-6 amps/mbar) Minimum detectable partial pressure 5 1.0E-13 Torr 2.0E-13 Torr 4.0E-13 Torr (1.3E-13 mbar) (2.6E-13 mbar) (5.3E-13 mbar) Maximum Data Rate (analog scans OR selected peaks) 1.8 ms per point (555 data points per second) Abundance sensitivity 6 <5 ppm <10 ppm <100 ppm Zero blast 7 <2 ppm <25 ppm <200 ppm Detection limit 8 <1 ppm <2 ppm <4 ppm Linearity 9 ±20% Minimum background pressure <1.0E-8 Torr (<1.3E-8 mbar) Maximum sensor and inlet 150 C operating temperature 1 Pressure reading at low emission using total pressure lens 2 Total pressure accuracy at low emission 3 Maximum ion source operating pressure at low emission (filament turn-off threshold) 4 2E-4 Torr in the closed ion source will produce about 1E-5 Torr in the quadrupole region 5 MDPP with EM on at 10,000 gain and 1-second dwell time 6 Mass 40 contribution onto 41 amu 7 Zero blast contribution onto 2 amu 8 Minimum detectable concentration with krypton in air at a 1-second dwell 9 For 1 Torr orifices and lower. Linearity at low emission at 0.1 to 2 times the nominal orifice pressure DIMENSIONS 152 mm (6.0 in.) 545 mm (21.5 in.) 378 mm (14.9 in.) www.inficon.com reachus@inficon.com Transpector and FabGuard are registered trademarks of INFICON and HexBlock is a trademark of INFICON. Due to our continuing program of product improvements, specifications are subject to change without notice. aibc04a1-d 2015 INFICON