Novel Spin on Planarization Technology by Photo Curing SOC (P-SOC)

Similar documents
Advanced developer-soluble gap-fill materials and applications

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process. Data Package

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process Data Package

Tailorable BARC system to provide optimum solutions for various substrates in immersion lithography

UV15: For Fabrication of Polymer Optical Waveguides

Key Technologies for Next Generation EUV Lithography

HIGH-ASPECT-RATIO, ULTRATHICK, NEGATIVE-TONE NEAR-UV PHOTORESIST FOR MEMS APPLICATIONS

Processing guidelines. Negative Tone Photoresists mr-ebl 6000

Low Temperature Curable Positive Tone Photosensitive Polyimide Photoneece LT series. Toray Industries, Inc.

P4000 Thick Film Photoresist

Advanced Polymers And Resists For Nanoimprint Lithography

micro resist technology

Photolithography I ( Part 2 )

Technical Data Sheet Technisches Datenblatt

Ultralow Residue Semiconductor Grade Fluxes for Copper Pillar Flip-Chip

Half-pitch 15-nm metal wire circuit fabricated using directed self-assembly of PS-b-PMMA

Processing guidelines. Negative Tone Photoresist Series ma-n 2400

micro resist technology

Use of Spin-On-Hard Mask Materials for nano scale patterning technology

Nanoimprinting in Polymers and Applications in Cell Studies. Albert F. YEE Chemical Engineering & Materials Science UC Irvine

Supporting Information

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller

Study of Adhesion Properties of Cu on Photosensitive Insulation Film for Next Generation Packaging

Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices

Understanding. Brewer Science

Dow Corning WL-5150 Photodefinable Spin-On Silicone

UV5 POSITIVE DUV PHOTORESIST For DUV Applications

Processing guidelines

Development of Photosensitve Polyimide B-stage Sheet Having High Cu Migration Resistance

Fabrication of MoS 2 Thin Film Transistors via Novel Solution Processed Selective Area Deposition

Introduction to Nanoscience and Nanotechnology

Avatrel Stress Buffer Coatings: Low Stress Passivation and Redistribution Applications

AZ P4620 Photoresist Data Package

UV5 POSITIVE DUV PHOTORESIST For Microlithography Applications

Progress in EUV resist development

Surface Passivation Process Study with Polyimide for High Voltage IGBT

Ultra High Barrier Coatings by PECVD

Three-dimensional SU-8 structures by reversal UV imprint

Czochralski Crystal Growth

Hybrid BARC approaches for FEOL and BEOL integration

Screen Printing of Highly Loaded Silver Inks on. Plastic Substrates Using Silicon Stencils

Filling and Planarizing Deep Trenches with Polymeric Material for Through-Silicon Via Technology

Via etching in BCB for HBT technology

Filtration on block copolymer solution used in directed self assembly lithography

Developments of Photosensitive Polyimides and Photosensitive Polybenzoxazoles Mitsuru Ueda

Study of ADI (After Develop Inspection) On Photo Resist Wafers Using Electron Beam (II)

EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES

Novel Materials and Activities for Next Generation Package. Hitachi Chemical., Co.Ltd. Packaging Solution Center Hiroaki Miyajima

125nXT Series. EMD PeRFoRmaNce MaTeRIaLs. technical datasheet. Photopolymer Negative Tone Photoresists APPLICATION TYPICAL PROCESS THICKNESS GRADES

Modeling anomalous depth dependent dissolution effects in. chemically amplified resists

TSV Interposer Process Flow with IME 300mm Facilities

Polymer-based Microfabrication

Sub-5 nm Structures Process Development and Fabrication Over Large Areas

CYCLOTENE* 4000 Series Advanced Electronic Resins (Photo BCB)

Application Notes: PixClear for OLED Internal Light Extraction Rev /4/17

Metal reduction at point-of-use filtration

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

High and Matched Refractive Index Liquid Adhesives for Optical Device Assembly

Measurement of Parameters for Simulation of Deep UV Lithography Using a FT-IR Baking System

The effectively utilization of oxygen in the process of. photopolymerization

GLM General information. Technical Datasheet

Solvent pre-wetting as an effective start-up method for point-of-use filter

A novel approach to developer-soluble anti-reflective coatings for 248-nm lithography

FIB mask repair technology for EUV mask 1. INTRODUCTION

FABRICATION FOR MICRO PATTERNS OF NICKEL MATRIX DIAMOND COMPOSITES USING THE COMPOSITE ELECTROFORMING AND UV- LITHOGRAPHY

MCC. PMGI Resists NANO PMGI RESISTS OFFER RANGE OF PRODUCTS

Thermal Nanoimprinting Basics

Chapter 2 Manufacturing Process

Study on microprobe processing by LIGA on Si

Supporting Information. Anti-Fogging/Self-Healing Properties of Clay- Containing Transparent Nanocomposite Thin Films

Fabrication of sub-100nm thick Nanoporous silica thin films

Metal reduction at bulk chemical filtration

Frank Wei Disco Corporation Ota-ku, Tokyo, Japan

A novel 248-nm wet-developable BARC for trench applications

UV6 POSITIVE DUV PHOTORESIST For DUV Applications

Imprint lithography for curved cross-sectional structure using replicated Ni mold

Recent Innovations in ArF Sumiresist

ULTRA-SMALL VIA-TECHNOLOGY OF THINFILM POLYMERS USING ADVANCED SCANNING LASER ABLATION

Self-aligned via and trench for metal contact in III-V semiconductor devices

Fabrication of Ru/Bi 4-x La x Ti 3 O 12 /Ru Ferroelectric Capacitor Structure Using a Ru Film Deposited by Metalorganic Chemical Vapor Deposition

Supporting Information. Fabrication of Flexible Transparent Electrode with Enhanced Conductivity from Hierarchical Metal Grids

Direct Analysis of Photoresist by ICP-MS. Featuring the Agilent Technologies 7500s ICP-MS

Electrical and Fluidic Microbumps and Interconnects for 3D-IC and Silicon Interposer

Analysis on Radical Photo- and Thermal-Polymerization of Negative-Tone Acrylic Resist for High Resolution

PATTERNING OF OXIDE THIN FILMS BY UV-LASER ABLATION

/15/$ IEEE Electronic Components & Technology Conference

ECE321 Electronics I

1500 Series. EMD PeRFoRmaNce MaTeRIaLs. technical datasheet. Positive Tone Photoresists APPLICATION TYPICAL PROCESS. SPIN CURVES (150mm wafers)

Micro-fabrication and High-productivity Etching System for 65-nm Node and Beyond

Metallic Antireflection Structures Made from Silver Ink by a Liquid Transfer Imprint Lithography Technique

SU Permanent Epoxy Negative Photoresist PROCESSING GUIDELINES FOR:

Lecture 1A: Manufacturing& Layout

Technical Data December 17, 2003 Three Bond Co., Ltd.

AR2 and AR3 DUV ANTI-REFLECTANTS

Via Formation Process for Smooth Copper Wiring on Insulation Layer with Adhesion Layer

Sustainable UV-curable low refractive index resins with novel polymers for polymer cladding materials

AMORPHOUS SILICON DIOXIDE LAYER FOR HIGH EFFICIENCY CRYSTALLINE SOLAR CELLS

Lithography Tool Package

Study of the resist deformation in nanoimprint lithography

9/4/2008 GMU, ECE 680 Physical VLSI Design

Transcription:

Journal of Photopolymer Science and Technology Volume 3, Number 3 (17) 373-378 C 17SPST Technical Paper Novel Spin on Planarization Technology by Photo Curing (P-) Takafumi Endo*, Rikimaru Sakamoto, Keisuke Hashimoto, Daigo Saito, Hirokazu Nishimaki, Ryo Karasawa, and Hikaru Tokunaga Semiconductor Materials Research Department, Materials Research Laboratories, Nissan Chemical Industries, Ltd.635 Sasakura, Fuchu-machi, Toyama 939-79, Japan *endoutaka@nissanchem.co.jp In advanced lithography technology, high planarity (Spin-on-Carbon) materials which can planarize topography substrates are required in order to obtain enough process margin. We developed photo curing (P-) materials which can be cross-linked by short wavelength UV light, but not thermal process. The P- can achieve high planarization and good via filling because they have high reflow performance without viscosity increasing by baking process and almost no film shrinkage during the baking and photo curing process. The novel P- materials are suitable for very fine pattern manufacturing process as N5 generation which is needed planarization technology. Keywords: Spin-on-Carbon (), Planarization, Photo cross-link, Photo curing, UV, Reflow, Film shrinkage 1. Introduction Recently, very fine patterning with less than hpnm is created by multiple patterning with ArF immersion technology [1-3]. In this case, it is necessary to use under layer materials which can fill and planarize substrates having hole, trench and various topography in order to obtain enough focus margin in lithography step. Especially, (Spin-on-Carbon) materials which are applied multi-layer process are required not only dry etching resistance or optical control but also good via filling and high planarization on the substrates [4]. Conventional materials are used thermal curing system and mainly consist of resin, thermal cross-linker, acidic catalyst and solvent. The thermal curing are cross-linked between cross-linking sites in the resin and the cross-linker during baking and have resist solvent resistance. However, this kind of thermal curing system is difficult to achieve the good planarization because reflow of the resin was prohibited by viscosity increasing and causing the film shrinkage due to outgas during baking as shown in Fig. 1. Therefore, we newly developed photo curing (P-) which can be cross-linked Received April 11, 17 Accepted May 1, 17 by short wavelength UV light, but not thermal process. Especially, our P- materials are simple formulation which consists of only resin and solvent without cross-linker or other additives by putting photo cross-linking unit in the resin. The photo curing process flow is three steps of coating P-, reflow baking and UV exposure. In the reflow baking step, the P- can easily cause reflow of the resin because viscosity increasing which came from cross-link reaction is prevented. Moreover, they can avoid film shrinkage because of no cross-link by the baking. In the UV exposure step, there are almost no film shrinkage of the P- because they are preventable outgas or decomposition during photo curing. Therefore, we expect P- have capability of high planarization and good via filling compared to thermal curing as Fig. 1. This paper is described material design, photo curing performance and planarization of our P- and discussed applicability of P- for fabrication of very fine patterning in N5 generation.. Experimental.1. Material design and preparation The materials which being used in this paper listed in Table 1. T--1 is conventional thermal 373

J. Photopolym. Sci. Technol., Vol. 3, No. 3, 17 Thermal Curing Process Curing step Photo Curing Process Reflow step Curing step Coating Coating UV Exp. @Dense area T Poor reflow, Xlink P Reflow, no Xlink Xlink, Keep flat Sub. Sub. @Trench area Big shrink No shrink Keep flat Tradeoff in planarization vs. Xlink Increasing viscosity during baking Film shrinkage by outgas during baking Poor Planarization No tradeoff in planarization vs. Xlink Xlink after reflow step (Not thermal curing) No film shrink by photo curing High Planarization Fig. 1. Comparison of thermal curing process and photo curing process. listed in Table 1. T--1 is conventional thermal curing and contains resin, thermal cross-linker and acidic catalyst. The T--1 can be crosslinked between cross-linking sites in the resin and the cross-linker by baking. On the other hand, P- -1, P-- and P--3 are our photo curing which have photo cross-linking units in the P- resin and the units can be cross-linked with each other by short wavelength UV light (VUV; wavelength less than nm). The photo cross-linking unit of P--1 is same as P--, but is difference from P--3. Meanwhile, chemical structure of core unit of P--1 is same as P--3, but is different from P--. P- -1 and P--3 have four the cross-linking units in a resin, but P-- only has two units. Propylene glycol mono methyl ether (PGME) and propylene glycol mono methyl ether acetate (PGMEA) were used as solvent system of each. spinning and baking at 1 o C/3 sec in the coater tool. Finally, the film thickness after the stripping test were again measured and film loss rate which means washed out film amount by the stripping test were calculated from the actual film thickness after UV exposure and the stripping test..3. Check of film shrinkage To evaluate film shrinkage of, each were coated at A film thickness on 1 inch Si wafer without baking by the above coater tool, and then were measured the film thickness before baking by the above film thickness measurement tool. In next step, the coated wafers were baked at 15 o C/6 sec, and then were measured film thickness after baking. Finally, film shrinkage rate which means decreased film amount by baking were calculated from the actual film thickness before and after baking. Moreover, film shrinkage rate by UV exposure was also calculated... Check of photo curing performance A confirmation method of photo curing performance was as the following. At first, each were spin-coated at A film thickness on 1 inch Si wafer by a coater tool (Lithius Pro, TEL), and then baked at 15 o C/6 sec. The whole wafer coated were irradiated by short wavelength UV under from mj/cm (without UV exposure) to 5 mj/cm dose conditions by UV exposure tool, and then measured the film thickness after UV exposure by optical interference film thickness measurement tool (Lamda Ace VM-31, DNS). Secondly, the coated wafer were worked stripping test as below. A resist solvent (PGME/PGMEA=7/3) was dipped on the film surface and kept for 6 sec, and then dried by.4. Check of reflow property Reflow performance of during baking was evaluated by glass transition temperature (Tg), rheology curve and reflow distance check on topography substrate. For the Tg, films of each resin which baked at less than 1 o C for solvent removal were measured by DSC(Q-, TA Instrument). For the rheology curve, each films which similarly baked at less than 1 o C were measured by rheometer (MCR1, Anton Paar). To measure reflow distance, each were coated at A film thickness on chipped topography substrate with 4um trench and 1nm step height first. Distance of from right wall of the trench to minimum of film thickness on the 374

J. Photopolym. Sci. Technol., Vol. 3, No. 3, 17 Table 1. Material design and component of materials Curing type Component Resin Crosslinker Catalyst Solvent T1 Thermal ResinA CLA TAGA PGME/PGMEA P1 Photo Resin B (none) (none) P Photo ResinC P3 Photo ResinD ResinA ResinB ResinC ResinD Core unit a a e e e g ( n Core unit X X d f d Side chain e e e g b c ( g g Functional Unit Core unita, d, f: for etching resistance and n/k control Side chain unitb, c: for etching resistance n/k control Side chain unite, g: for photo crosslinking Thermal crosslinking site with crosslinker Photo crosslinking unit Photo crosslinking unit trench area was measured by AFM (Dimension Icon AFM, Bruker AXS) (See Fig. 3). Scanning area of AFM was 8um x 8um. Difference of the distance before baking (DBefore) and after baking at 15 o C/6 sec (DAfter) was defined as reflow distance that the film moved during baking..5. Check of planarity performance Planarity performance was investigated by using a topography wafer which has dense area with 5um trench and 1nm pitch, 8um big trench area and open area. Additionally, height of step of the topography is nm and distance between dense and open area is 1 um. Each were coated at 15A film thickness on the topography substrate, and then baked at 15 o C/6 sec. Besides, the P- coated substrates were irradiated by UV at 5 mj/cm by UV exposure tool. X-section of the substrates were observed before baking, after baking and UV exposure by SEM(S-48, Hitachi), and then were measured film thickness. The film thickness was defined as distance from interface of film and the substrate to top of the film. Film thickness bias of open and dense area is Bias-1 and film thickness bias of edge and center on the big trench is Bias-. 3. Results and discussion 3.1. Photo curing performance The film loss rate in Table shows resist solvent resistance of each. T--1 showed enough solvent resistance by baking because the film loss rate of T--1 was less than 1%. On the other hand, P--1, P-- and P--3 had none of solvent resistance by only baking. However, P- -1 showed solvent resistance by UV exposure at over 5mJ/cm. P-- and P--3 had enough solvent resistance at over 1 mj/cm and 3 mj/cm, respectively. Therefore, photo crosslinking units in P--1 resin can be photo crosslink at lower UV dose or higher photo reactivity than P--3. Besides, the number of photo crosslinking unit depends on photo curing performance because P--1 with four the units was easy to be photo curing compared to P-- with two the units. Basically, material design of P- is necessary to select suitable kind of photo curing unit and content of the unit in a resin. 3.. Film shrinkage The film shrink rate in Table shows film shrinkage of each before and after baking or UV exposure. T--1 showed 17% film shrinkage after baking. It is higher than the P-. Therefore, thermal curing as T--1 are easy to cause film shrinkage due to thermal cross-linking reaction with outgas during baking but P- can prevent film shrinkage. Film shrinkage rate of the P- after UV exposure showed very small. This means the P- have almost no film shrinkage by UV exposure. Basically, these results prove P- are effective for planarity improvement because P- are less affected by film shrinkage during baking and UV exposure compared to conventional thermal curing. 3.3. Reflow property Figure shows Tg of the resins. P--1 or P-- resins were lower Tg than T--1 and P--3. Low Tg resin as P--1 or P-- may help to cause big reflow during baking because P- have none of curing by the baking. Figure shows rheology curve of each. T- -1 increased viscosity due to thermal curing at around 1 o C. However, P--1 and P-- did not occur viscosity increasing until around o C and minimum viscosity got lower than T- -1. Especially, P--3 can be kept low viscosity until around 3 o C. Therefore, P--3 can also cause big reflow although it has 375

J. Photopolym. Sci. Technol., Vol. 3, No. 3, 17 Table. Film loss rate by stripping test and film shrinkage rate by baking or UV exposure T1 P1 P P3 Bake oc/6s] 15 15 15 15 UV Dose *Film loss rate **Film shrink rate [mj/cm] (solvent resistance) [%] [%] 17 95 (noncured) 5 1 5 99 (noncured) 5 94 (noncured) 1 5 1 99 (noncured) 1 1 81 (noncured) 3 5 <1 *Film loss rate: [(FTK after baking or exposure) (FTK after stripping)] / ( FTK after baking or exposure)*1 **Film shrink rate: [(FTK before baking) (FTK after baking)] / ( FTK after baking)*1 Fig.. Glass transition temperature (Tg) of resins (left) and rheology curve of (right). Fig. 3. Scanning image of film surface on topography substrate and reflow distance by AFM. 376

J. Photopolym. Sci. Technol., Vol. 3, No. 3, 17 Table 3. Planarity performance on topography substrate Bias (Center/Edge @Big Trench) [nm] Bias1 (Open/Dense) [nm] Before After After UV Exposure Before After After UV Exposure (None Bake) (15deg.C/6s) (5mJ/cm) (None Bake) (15deg.C/6s) (5mJ/cm) T1 89 83 75 3 P1 75 14 14 38 4 8 P 77 8 8 58 6 P3 99 16 111 4 Test Substrate Bias1 Edge Bias 1um Center nm Si (SiO surface) Dense (T5P1) Open Big Trench (T8) Bias1: (FTK on open area) (FTK on dense area) Bias: (FTK on edge in big trench area) (FTK on center in big trench area) Fig. 4. X-SEM image of thermal curing (T--1) on topography wafer. Fig. 5. X-SEM image of photo curing (P--3) on topography wafer. high Tg. Figure 3 shows AFM scanning images and reflow distance when T--1 and P--3 were coated on topography substrate. The reflow distance of T-1 was -14um but P--3 was +7um. Therefore, T--1 showed poor reflow distance because viscosity increasing and film shrinkage outstrip reflow during baking. However, P--3 showed long reflow distance because viscosity increasing and film shrinkage could be prevented and big reflow cause by baking. Basically, these results suggest P- have capability of high 377

J. Photopolym. Sci. Technol., Vol. 3, No. 3, 17 planarization compared to thermal curing. 3.4. Planarity performance Table 3 shows film thickness bias on topography substrate in each step. Additionally, X-SEM images of T--1 are shown in Fig. 4 and P--3 are shown in Fig. 5. In case of T--1, open/dense bias (Bias-1) and was 83 nm and center/edge bias on big trench (Bias-) was 35 nm after baking. However, the both bias were not good enough for planarity performance although the bias improved compared to before baking. Therefore, it is difficult to achieve high planarization because reflow competitively occur together viscosity increasing and film shrinkage. On the other hand, the film thickness bias of P- -3 showed 16 nm at Bias-1 and 4nm at Bias- after baking. The both film thickness bias were very smaller than T--1 and showed good planarity performance in spite of bigger bias before baking. Besides, the both bias after UV exposure were almost same as after baking. The trend was similar to P--1 and P-- but the both bias were smaller before baking. Therefore, P- can achieve high planarization because of big reflow during baking. Moreover, the planarization was kept after photo curing because of almost no film shrinkage during UV exposure. Additionally, these P- also showed good via filling property without void on 5 nm trench patterns. 4. Conclusion We developed novel photo curing which applied photo cross-linking system by using short wavelength UV light in order to satisfy high planarity, but not thermal curing which applied conventional thermal cross-linking system. Conventional thermal curing are difficult to achieve good planarization because viscosity increasing cause poor reflow property and outgas which came from thermal cross-linker cause big film shrinkage during baking. However, our P- including photo cross-linking units in main resin can cause great reflow property for planarity improvement because viscosity increasing and film shrinkage can be prevented during baking. Moreover, P- can be kept high planarization after photo curing because film shrinkage can be also inhibited during UV exposure. Therefore, our examination results in this paper proved P- are useful under layer materials for multiple patterning process which is needed high planarity performance. In the future, we will continue to develop and provide new P- materials to meet the requirements of N5 generation and beyond device manufacturing. References 1. Y. Wei, M. Glodde, H. Yusuff, M. Lawson, S. Y. Chang, K. S. Yoon, C.-H. Wu, and M. Kelling, Proc. SPIE, 797 (11) 797L.. F. Iwao, S. Shimura, H. Kyouda, K. Oyama, S. Yamauchi, A. Hara, S. Natori, and H. Yaegashi, Proc. SPIE, 835 (1) 8355. 3. K. Oyama, S. Yamauchi, A. Hara, S. Natori, and H. Yaegashi, Proc. SPIE, 868 (13) 868C. 4.Y. Someya, T. Shinjo, K. Hashimoto, H. Nishimaki, R. Karasawa, R. Sakamoto, and T. Matsumoto, Proc. SPIE, 835 (1) 835U. 378